返回 产品详情
33914 LAM 研究阀门组件(全新)853-032743-003
来自厦门翔麟奕科技有限公司
¥5.00
发布时间  2024-11-14 19:51:20 关注次数  2
厦门翔麟奕科技有限公司
家家通 3年
图文介绍 产品参数 供应商信息
P/N/DebionQtyiQDP40 Edwards iQ Dry Pumping System Vacuum Pump -1 Hour Tested Working Spare1Edwards iQDP40 Vacuum Pump - TEST1Edwards iQDP40 with QMB250 Vacuum Pump - TEST1Edwards iQDP40 with QMB250 Vacuum Pump - TEST1iQDP80 Edwards A532-80-905 Dry Vacuum Pump 22469 Hours Tested Working Spare1Neslab HX-75 - TEST1Neslab HX-150 - TEST1Edwards QDP40 with QMB250 - TEST1iQDP40 Edwards A532-40-905 Dry Vacuum Pump -3580 Hours Tested Working Spare1iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Working Needs Rebuild1HFG 2500 AE Advanced Energy 3155072-000B RF Power Supply Tested Working Spare2RFG 3000 AE Advanced Energy 3155038-000G RF Power Supply Tested Working Spare1Osaka Vacuum TD701/1101 Turbo Controller - TEST6RFG 5500 AE Advanced Energy 660-098719-001 RF Generator 3155051-011 Working1CX-2500 4MHz Comdel FP3304RH RF Generator - TEST1RFG 3000 AE Advanced Energy 660-024637-100 RF Power Supply Tested Working Spare1Comdel CPMX-3000/RJ/4 RF Matching Network Lam Research FPD Working Spare1Comdel CPMX-3000/4/RJ RF Matching Network Lam Research FPD Working Spare1Comdel FP2414R3 RF Matching Network CPMX-3000/4/RJ Lam Research FPD Spare1Osaka Vacuum TG113EM Turbomolecular Pump Turbo - TEST5Osaka Vacuum TG1113MBA Turbomolecular Pump Turbo - TEST1VAT 64246-XE52-1107 Gate Valve and PM-5 Controller 641PM-36PM-1001 Set Lam FPD3VAT 64246-XE52-1107 Gate Valve and PM-5 796-093088R001 Controller Set Lam FPD1VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-0002 Controller Set Lam FPD1VAT 64246-XE52-1107 Gate Valve and PM-5 641PM-36PM-1004 Controller Set Lam FPD1Lam Research 853-540066-005-C-3117 End-Point Detector FPD Continuum Spare2Verity Instruments EP200Mmd .2 Meter Monochromator Detector Lam FPD Continuum2Lam Research TCP Autotune RF Match Controller Unit FPD Continuum 810-015987-1013Anafaze 11225-00 MLS AIM TB Assembly MLS-LAM Lam Research 778-091827-001 Working1Hine Design 10011-802 FPDVCI Control Emergency Stop PCB Lam FPD Continuum Spare1Pittman 676-5800 Servo Motor Sterling S9123A-PG022 Hine 1704-2311 Lam Continuum2MKS Instruments 93-4536 Ultra High Vacuum Right Angle Two-Stage Pneumatic Valve2Lam Research 853-032292-001-A-DFT RF Tune/Load Motor Assembly Lam Continuum3MKS Instruments 100763506G ISO-63 ISO-MF Flange Bellows Lam Continuum Working2MKS Instrumnets 152-0050K-S02 Isolation Vacuum Valve NW50 Lam Continuum Spare2Edwards C41419000 Vacuum Angle Valve PV40PKS-LAM Lam Research Continuum Spare2Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare2Inficon 365-011 Vacuum Capacitance Diaphragm Gauge CDG025 SKY CDG Working Spare1MKS Instruments 623A13TBE Baratron Capacitance Manometer Type 623 Working Spare1Tylan General CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare2Millipore CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Spare1Inficon 3CC1-351-2300 Vacuum Capacitance Diaphragm Gauge CDG045D CDG Spare1MKS Instruments 625A-12407 Baratron Capacitance Manometer Type 625 Working Spare2VAT 26328-KA11-1001 Pneumatic Right Angle Vacuum Valve Lam FPD Continuum Spare3Varian L6280-302 Manual Bellows Valve NW-25-H/O Lam FPD Continuum Working Spare1MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 Lot of 31UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM N2 Working Spare1UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM HCL Working Spare1UNIT Instruments UFC-1660 Mass Flow Controller MFC 1 SLM CL2 Working Spare1UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM Ar Working Spare2UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM CF4 Working Spare3UNIT Instruments UFC-1660 Mass Flow Controller MFC 500 SCCM SF6 Working Spare3Aera FC-7700CD Mass Flow Controller MFC 500 SCCM Ar Working Spare1Aera FC-7700CD Mass Flow Controller MFC 1 SLPM CL2 9-Pin D Working Spare1Aera FC-7810CU Mass Flow Controller MFC 1 SLPM CL2 Card-Edge Working Spare1Aera FC-7810CU Mass Flow Controller MFC 500 SCCM HCL Working Spare1Aera FC-7800C Mass Flow Controller MFC 500 SCCM N2 Working Spare1Aera FC-7810CU Mass Flow Controller MFC 100 SCCM O2 Working Spare2Aera FC-7800CU Mass Flow Controller MFC 100 SCCM O2 Working Spare2UNIT Instruments UPC-1300 Pressure Controller UPC MFC Lam 797-097183-104 Spare2UNIT Instruments UFC-1200A Mass Flow Controller 500 SCCM CHF3 Working Spare1UNIT Instruments UFC-1200A Mass Flow Controller Lam 797-098570-604 Working Spare1Precision Sensors D48W-14 Differential Pressure Switch Reseller Lot of 2 Spare1Precision Sensors E36W-H55 Absolute Pressure Switch Lam 768-093959-003 Lot of 21MKS Instruments 109070019CE Analog Convection Transducer ACT Series 907 New1MKS Instruments 628B.1TBF2B Baratron Capacitance Manometer Working Spare1Hine Design 810-4930-01 Uno Motor Control PCB Lam Research FPD Continuum Spare1Lam Research 810-190402-001 ESC 5kV Power Supply Board PCB Continuum Spare1Orbot Instruments S2X-018009 PCB ORBOT-S2X-018008-REV A Lam Continuum Spare1Festo DSM-16-270-P-FW Rotary Actuator Pneumatic Cylinder Reseller Lot of 2 Spare1Lam Research 853-015982-001-D-3653 TCP RF Tune/Load Module FPD Continuum Spare1Lam Research 853-015982-001-D-C519 TCP RF Tune/Load Module FPD Continuum Spare1Lam Research 518-025348-001 Temperature Calibration Source FPD Continuum Spare1Lam Research 715-704170-001 Wafer Chuck Lift 715-704169-001 FPD Continuum Spare1Brooks Automation 001-1984 Robot Teach Pendant 001-1863-01 Working Spare1Verity EP200Mmd .2 Meter Monochromator Detector Lam 853-704360-001-E3 Spare1Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare3Lam Research 810-015987-101 TCP Autotune Board PCB Continuum Working Spare1Keyence SL-C Series Safety Light Curtain Set SL-C16F-R SL-C16F-T SL-R11 Spare1Deltron 11686XA Power Supply 666 Watts Lam Research FPD Continuum Working Spare2Deltron 11686XB Power Supply 666 Watts Lam 853-707255-001 FPD Continuum Working2Brooks Automation 001-7338-09 Robot MagnaTran 60 Lam FPD Continuum Spare As-Is1Dell Optiplex 755 Alliance Envision Backup Computer Lam Research Continuum Spare1Kimball 70-00153-01 System Computer Lam Research 685-097073-241 Continuum Spare1FEI Company CLM-3D System Computer PC 200mm CLM DualBeam Metrology System As-Is1QMB Edwards QMB250 Vacuum Pump Mechanical Booster Vacuum Pump Tested Working1iQDP40 Edwards iQ Dry Pumping System Vacuum Pump Tested Working Needs Rebuild3Edwards A52857000 iQDP Seal Purge Module Dry Vacuum Pump Tested Working Spare3Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2110 Spare3QMB Edwards QMB250F Vacuum Pump Mechanical Booster Vacuum Pump Tested Working1Edwards iQDP40 Thermostatic Water Temperature Valve QMB Danfoss 003N2120 Spare2Edwards A52858000 QDP Gas Ballast Module Dry Vacuum Pump Tested Working Spare1Edwards D37211920 Gas Flash TP/XF-78 Control PCB D37211202 801-1047-01 Spare1Kofloc 3810U Mass Flow Sensor 60L/Min N2 Edwards Dry Vacuum Pump Working Spare1Anafaze 11225-00 MLS AIM TB Temperature Unit MLS-LAM Lam 778-091827-001 Spare1Seiko Precision VP-4500 Thermal Video Printer 220-240VAC Working Spare1FEI Company 4035-285-18801 Microscope Stage Control Panel 24470 CLM-3D Spare1APTech AP1010S 2PW MV4 FV4 Manual Regulator Valve Reseller Lot of 12 Working2Lam Research 853-707093-001 RF Cable 4.5 Feet FPD Continuum Working Spare2Lam Research 853-707092-002 RF Cable 7.5 Feet FPD Continuum Working Spare2Lam Research 853-707092-003 RF Cable 7.5 Feet FPD Continuum Working Spare1Lam Research TRU-6834A-08L1 RF Cable 7.5 Feet FPD Continuum Working Spare1VAT 81392 Master/Slave Interface Cable Adaptive Pressure Controller PM-5 Spare3CTI-Cryogenics 8080025K001 Adsorber Helium Filtration Cartridge IS-1000 Used1RFX 600A AE Advanced Energy 3155082-331 D RF Generator Tested Working Spare3iQDP40 Edwards iQ Dry Pumping System Vacuum Pump 2 Hours Tested Working Spare1Lam Research 853-017160-002-F-C301 Rear EMO Assembly PCB 810-017003-004 Spare1UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM N2 Working Spare1UNIT Instruments UFC-8160 Mass Flow Controller MFC 1 SLM O2 Working Spare1UNIT Instruments UFC-8160 Mass Flow Controller MFC 100 SCCM CF4 Working Spare1UNIT Instruments UFC-8160 Mass Flow Controller MFC 500 SCCM N2 Working Spare1Tokyo Electron Ltd. / bbb D124680-150 Sputter Shield. New Old Stock <2bbb Tokyo Electron CT2985-436088-W5 SCT-R Coat Process Station Cup Assembly Used1Nikon 4S018-384 Driver Card PCB AFDRVX2 NSR-S202A Step-and-Repeat Working Spare1Nikon 4S018-354 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Spare1Nikon 4S015-119 Processor Board PCB Card NK386SX4 4S015-150 NSR System Spare1Nikon 4S018-351-A Control Board PCB Card OPDCTRL2 NSR System Working Spare1AMAT Applied Materials 0200-02597 300mm Internal Insulator Rev. 003 Refurbished Unlisted3AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working unlisted1AMAT Applied Materials 0010-21748 RF Match Preclean/RPC PVD Assembly Rev. 007 CU Used Unlisted1AMAT Applied Materials 0040-62718 Bond 300mm Electrode Single Crystal Showerhead New1AMAT Applied Materials 0020-06108 LID HPM Dual Gas Feed 300mm DPS 601-108-45 New1AMAT Applied Materials 0200-05638 Blade Ceramic Open 300mm New1AMAT Applied Materials 0190-A1490 Rev. A Ceramic Wafer Chuck AP32DDR-RF Refurbished1Nikon 4S015-129 Processor Board PCB Card BUSMON4 NSR System Working Spare1Nikon 4S018-379 Scanner Board PCB Card SPIOX2 NSR System Working Spare1iH1000 Edwards A533-42-945 Dry Vacuum Pump System Codes 15201 3912 Tested As-Is1Alcab ASM 180TD Leak Detector1Varian 936-40 Leak Detector1Ebara AAS300WN Dry Vacuum Pump AAS Series Needs Flow Switch Tested Working1ENI OEM50 RF Solid Stste Power Generator1ENI OEM-50N RF Solid Stste Power Generator1Met One 2083993-04 Particle Counter 3315-.5-1-SS Pacific Scientific Working1Tencor Surfscan 7000 Patterned Wafer Analyzer1Met One A2408-1-115-1 Particle Counter1AE Advanced Energy Pinnacle 6kW1CTI-Cryogenics 8052261 Cryo Compressor 8010 Controller New Surplus4Electroglas Horizon 4085X 8" Automated Prober System1K&S 982-6 Plus Precision Dicing System1ETO 80-S04 RF Generator Rack1ENI OEM-50N RF Plasma Generator1bbb Rite Track 8826-28-36 88 Series Photoresis Coater1bbb 8826-8836 88 Series Photoresist Coater1IPUP T100P Toyota 3620-00463 Vacuum Dry Pump AMAT Pump Overload Not Working1Tegal 803 Inline Automatic Plasma Etcher1K&S 982-6 Wafer Dicer1Headway Research PWM32-PS-R790 Photoresist Spinner1Solitec 5110 Single Head Wafer Processing Photoresist Spin Coater1CTI-Cryogenics 8135900G001 9600 Cryopump Compressor Tested Not Working1AMAT Applied Materials HDP Chamber1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1Leybold UL 500 Helium Leak Detector1CTI-Cryogenics 8112854 On-Board 8 Cryopump FastRegen 24591 Hours Tested As-Is1CTI-Cryogenics 8116014G002 On-Board 8 Cryopump 32767 Hours Tested Working1CTI-Cryogenics 8112855 On-Board 8 Cryopump FastRegen 32767 Hours Tested Working1Pfeiffer TMU 261 Turbomolcular Pump and Controller1Pfeiffer TMU 261 Turbomolcular Pump and Controller1Leybold NT20 Turbomolcular Pump Controller1UNIT Instruments DX-5 MFC Mass Flow Controller Digital Control System Working1Comdel CPS 1000/4 RF Generator 4.00 MHz with Match Pro1Turbo-V 70LP MacroTorr Varian 9699366 Turbomolecular Pump Turbo Tested Working1Turbo-V 70D MacroTorr Varian 969-9361S008 Turbomolec  Station Expansion Card PCB 99-80207-029Alcab Control Board PCB P0176-D working7Edwards A52850000 QDP Series 3 Exhaust Pressure Module Used Working1Shimadzu EI-281 TMP Power Source Used Working1Cymer Interface Board 05-05182-00 Working4BTU Engineering Video Interface Board 3181180 EPROM V2.1 Working1Cymer ADC Interface Board 05-05184-00 Rev. 011 Working1Cymer ADC Interface Board 05-05184-00 Rev. 001 Working2BTU Engineering System I/O Board 3161161 Rev. 7/14 Working1ASML ATWI SS2 MKII Carrier Conn PCA 4022.471.84321 new1IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 8241 Hours AMAT Tested As-Is1SAM Fantas SFC1483F MFC Mass Flow Controller N2, 60SLM1STEC Mass Flow Controllr SEC-Z12DWM Ar 20SLM 0190-168041SAM Fantas MFC Mass Flow Controller SFC1480F H2 3SLM1SAM SFC480F3PD Mass Flow Controller AMAT 3030-00607 Used1Agilent ASML I/O Card PCB Z4206A working2Nor-Cal Products 3870-00165 Inblisys Pressure Controller AMAT Used Working2AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 10 New2Pacific Scientific Servo Controller SC755A040-08 bbb 901Sanyo Denki PV1A030SGDNP50 Servo Amplifier BL Super PV Used Working17Integrated Dynamics Engineering TC-V3 Isolation System working1LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working3LF-5 RFPP RF Power Products 7520572050 RF Generator LF-5SWC Used Tested Working2Omron Power Controller G3PX-220EUN working1Brooks Automation 200mm Prealigner Chamber 10600-101Agilent ASML WIPA PPCA PCB Board 4022.471.78842 new1bbb Tokyo Electron Short Comp. Pod Door Shield D1140565Millipore Wafergard F Inline Gas Filter lot C4NM69051Sanyo Denki BL Super PV Servo Drive PV2A015WNF1A001Millipore FC-2952MEP5-T Mass Flow Controller 10 SLPM He New1Orion Machinery ETS214-ATAA-A Pel Thermo Chiller Used Working5bbb Tokyo Electron Long Door Shield (11" Cath.) D11563511bbb Tokyo Electron S-2 Old Pod Shield D117579 new8MRC Materials Research 200mm Pod Shield D126409-200SP new1Horiba STEC SEC-7330M Mass Flow Controller 1 SLM O2 Refurbished1GaSonics Data Tech. 16-bit ISA IDE Control Card DTC22805Parker P1M063C/KMC6N046 Pneumatic Cylinder Series Double Acting New6GaSonics DTC2280 M/N 95-0289 Data Technology Corp 16-bit ISA IDE Control Card2AceCo 150mm Aluminum Cathode CS33-6051bbb Tokyo Electron Sputter Shield D122081 new3Vacuum Cntr. Manifold1Vacuum Cntr. Manifold1Vacuum Cntr. Manifold1Seiko Seiki Turbopump Controller STP-H1301L1 working1Shimadzu EI-3203MD-A1 TMP Turbopump Power Unit  AMAT 3620-01616 New1KLA-Tencor CIP XP Column Extension Assy. 0110157-0001RF Services Inc. RF Match RFS 5005 5kW working1Novellus Digital Dynamics SIOC Controller 02-258471-001Pentagon Technology 17-165771-02A PVD Shield new Novellus1Glentek Amplifier Controller SMA8310-1 KLA 003964-000 working2Arrow Electronics Power Supply Switcher VAD610669-A2Novellus Shield Assembly 16-117928-00 Rev.D new1KLA-Tencor Lid Lift Leg Assy. 781-11759-000 working2Tosoh A-S Wafer Shield 06225-000/003412 new1LSA Cleanpart Wafer Shield 16-140875-00 new2Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-1010 Used4Lintec Liquid Flow Meter LM-1100M-8 TEOS 1.5g/min New Surplus1ATMI NOWPak SM4BKAFD-050812 Photo Resist Dispenser new surplus7AMAT 25 lb Weight Kit 0240-16987 300mm rebuilt6AMAT 300mm Retaining Ring Grooved PL PPS 0021-17340 new1AMAT Titan SP Head 300mm Fixture Kit 0240-13190 new2AMAT Titan Head Membrane Support 0040-41846 new4AMAT 300mm Grooved Retaining Ring 0040-84056 new4AMAT 300mm Retaining Ring, Grooved 0040-84056 new10LSA Anodic Technologies Bracket Assy. 17-122526-00 new1LSA Cleanpart ESC Lift Pin Assy. 16-116351-00 new1STEC Liquid Mass Flow Meter TiCl4 LF-210A-EVD  NEW1KLA-Tencor Upper Housing Assy. 781-21332-000 working1KLA-Tencor Housekeeping Control 0075164-002 working1bbb Tokyo Electron 3M10-311737-12 300mm Shower Spacer New2bbb Tokyo Electron Barrel Shield WZ10-102853-11 new1Scinics MC-301 Stirrer Controller MultiStirrer AMAT 1050-01008 New Surplus8KLA-Tencor CRS1010 Solenoid PCB Assy. 000678 working2Vic 90-19969 Heated Left Turbo Elbow AMAT Applied Materials New5Inficon 0190-19967 Heated Vert Cross Weldment AMAT Applied Materials New7Inficon 0190-19968 Heated Right Turbo Elbow AMAT Applied Materials New8Cutler-Hammer AF91AG0 B002D Adjustable Frequency Drive G1002BG0DA Used Working1Ultrapointe 000674T5 Filter Wheel Driver Board PCB KLA-Tencor CRS-1010S Used2Ultrapointe 801-1002-01 A-Stop Control Lon Motor Driver PCB 55050-00 Used Working2Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS1010 Used6KLA-Tencor CRS1010 Fast Z Controller 001000 PCB working1M.E.C. Tech MEC81104-200L Cathode Covers AMAT 0030-0102 Reseller Lot of 101 New1bbb Tokyo Electron Teflon Coil Cup WZ10-101255-11 New1M.E.C. Tech Insulator Ring 26-83622-00 new AMAT Lot of 781Sanyo Denki PMDPA1C3P20 PM Driver Type C AMAT 1080-01276 Used Working1KLA-Tencor 001003 Fast Z Controller PCB Rev. A CRS1010 Used Working4GSI Lumonics 003-3002009 Control PCB KLA-Tencor CRS1010 Used Working4Daihen DCP-208-24 DC Power Supply working2Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed Used1GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used5Cutler-Hammer Circuit Breaker lot GD 22K working1InUSA AFX RB5L Multi-Channel Ozone Controller Used Working1VAT 0750X-UE24-ACK1 Rectangular Door Valve L-VAT Series 075 AMAT 3870-00403 New1InUSA RB3L External Multi-Channel Control System RB5L Used1KLA-Tencor CRS1010S Review Station DC Power Supply4HiTek Power Series 2500 High Voltage Power Supply 2.5kV Refurbished1bbb Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. F 90S DUV Used10STEC SEC-4600M Mass Flow Controller 50 SLM H2 Refurbished6Entegris 6500-XX-F02-B30-K-P1-U1-M15 NT Integrated Flow Controller New Surplus1QML/MSXLOD 200mm Chuck 879-0362-002D1MKS TOOLweb Sensor Integration Platb SIP BlueBox new1KLA-Tencor CRS1010 Lens Filter Assembly 002001 working1GPI General Precision Remote Panel RCD-1-4 I working2KLA-Tencor CRS1010 Camera Filter Assembly 000056 working7Power-One Cosel bbb 90 DC Power Supply lot working1Yaskawa Linear Motor Controller CLSR-A504-N2SB Nikon1JAE 6 Axis Vibration Measurement Unit JNP-003 Nikon NSR1Ametek Pressure Transducer 100SV3000SM41B3 3000PSIG New13Tescom 64-3242KRL20 Manual Regulator 100PSIG Max Output New4Tescom Regulator 23-3B25AAHH-016 100PSIG Max Output New112Pentagon Technologies bbb Tokyo Electron Faraday Shield WZ10-101351-21 X6 New1Pentagon Technologies Faraday Shield WZ10-101268-11 X1 New bbb Tokyo Electron1Pentagon Technologies bbb Tokyo Electron Baffle Deb WZ10-102201-11 New2bbb Tokyo Electron Faraday Shield WZ10-102693-11 X11bbb Tokyo Electron Faraday Shield WZ10-102397-11 X11AMAT Lower Preclean Shield 0040-61580 300mm New1KLA-Tencor O/S Actuator Elevator 720-01488-003 Untested2KLA-Tencor Newport AIT2 Air Slit Assembly 393215 New2GSI Lumonics CCA-10069 X-Y Scanner PCB working pair1GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working7GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working1KLA-Tencor Nikon Box Door Opener 750-059211-001 New1KLA-Tencor Aperture Heated Rod 781-23234-002 Used4KLA-Tencor Aperture Heated Rod 781-23234-001 Used2KLA-Tencor Cannon Box Magazine Assembly 740-060658-0012KLA-Tencor 0124592-000 AIT UV Laser Servo Detector with Cable 0051839-000 New3bbb Perkin-Elmer A/D bbbbbbbb Regulator Conversion Board 851-8518-004K Working1bbb ASML Robitech Interface Board 859-0832-006B Working1KLA-Tencor AIT UV Laser Servo Detector 0099586-001 Used2KLA-Tencor 760-333022-000 SLX Reflected Light Assembly Used1KLA-Tencor 0041292-000 AIT/UV Laser Optics Assembly 0041281-000 Used Working1KLA-Tencor Spring Clamp Laser Servo Detect. 0124592-0001KLA-Tencor Mark II Right Top Plate 740-680567-000 New1KLA-Tencor 0099602-001 Retrofit Optics Beamsplitter  New1KLA-Tencor 10KV Floodgun Assembly 720-23189-0001bbb Silicon Valley Group 854-8307-001 PCB Board 859-5485-002 ASML Used Working1KLA-Tencor Sharp 15" LCD Monitor 0095643-000 Used1KLA-Tencor Glentek Electronics Analyzer 0045454-0002bbb ASML 859-0066-004-A PCB Board Used Working1KLA-Tencor Nikon Box Door Opener 750-059211-001 Used1bbb 90S Twin Shaft and Carriage 201-200 New1bbb ASML 859-8030-003 AFA PREAMP / ADC 16 Bit PCB Card Used Working1Lambda 703-1047-01 Power Supply Used Working3AE Advanced Energy 3150012-009 RF Generator RFPP LF-5 AMAT 0920-01014 Used4LAM Research 678-801542-001 Heat Tape New1HyCal Sensing CT-839-P-(50/80F)-(0/100%) Dual Temp/Humidity Transmitter Lot of 31Tescom High Purity Valve 33-1C52IGS2C-009 Lot of 31 new1LAM 6 Station Pneumatic Manifold 766-004254-003 new1LAM Immersion Heater 678-801541-002 new3LAM Immersion Heater 678-801541-003 new1LAM A001-0330-0050 Immersion Heater 678-099744-004 New1ASM 858-8163-002A PCB Board working1LAM Research Immersion Heater 678-099744-005 new1LAM Immersion Heater 678-099744-006 new4LAM Transber 638-099530-001 new1Machine Technology MINI I/O II 2217605061 PCB Board working3Perkin-Elmer 851-8877-002-F PCB Board A1302 bbb ASML Used Working1TLA Technology Servo Interface PCB Rev. A Used Working1Robitech 859-0832-006C Robot Interface PCB Board A5161 working1Branson IPC PWA Interface Board 12954-04 Rev. C Working1Lam Research 810-17031R4 ADIO-A0 Control Board PCB Rev. 1 TCP 9100 Used Working1LAM Research LAM 9100 ADIO-A0 Control Board 310-170314 Rev. A Working1Therma-Wave 18-010946 Interface Module Used Working2KLA-Tencor DC Power Supply 0057847-000 working1GPI General Precision bbb Remote Panel RCD 3-4-11Cyber Research bbb Remote Computer STC 12-T working1KLA-Tencor LV Detector Assy. 720-11440-000 untested1Therma-Wave 14-119381 PWR_DGTZR PCB Opti-Probe Used Working1Therma-Wave bbb MUX PCB 14-119395 working1KLA-Tencor 0077427-002 End Effector Used Working1KLA-Tencor 0077427-002 End Effector Used Working1bbb 90S End Station CPU Interface Unit1bbb 90S Pneumatic PCB BES-42351bbb 90S Interface Control PCB 99-80396-01 Rev B2bbb 90S Interface Control PCB 99-80396-01 Rev B6bbb 90S Sensor Multiplexor PCB 99-80270-01 Rev B4bbb 90S Nikon Interface PCB 99-80336-06 Rev O1bbb 90S RS422 Communications PCB 99-80203-01 Rev J Used1bbb Silicon Valley Group 80166F2-01 Station CPU PCB Board Used Working8bbb Station CPU Board 80266BE-014bbb Station CPU Board 80266B3-013bbb Tokyo Electron Shield WZ10-102823-11 X41Therma-Wave Opti-Probe Tatung 10" Monitor TCM-10011bbb PCB 06-49879-01B RA2011-1111bbb Tokyo Electron Shield WZ10-102837-11 XA1Pentagon Technologies WZ10-103558-11 X1 Large Upper Shield Sealed1Electroglas Horizon 4085X Visual Inspection Module1Electroglas Horizon 4085X Interface PCB Assembly1Electroglas Horizon 4085X RMHM4 Controller1Thermalogic PCB 718-525 Working2bbb 90S Station CPU PCB 80266B2-01 Working1bbb Silicon Valley Group 99-80170-01 Vacuum Sensor PCB Rev. E Used Working2Millipore Wafergard PF-40 Filter WGFV40P01 New1bbb 90S Manifold With Damper 99-54060-01 New4bbb 90S Fixture Parts Lot A W/ 24-45451-01 And More1bbb 90S 200mm Set Up Fixture/Jig  STGM10 23-42973-011bbb 90S 200mm Set Up Fixture/Jig PRES20 99-38120-021bbb 90S 200mm Set Up Fixture/Jig LJE-N-10 23-43218-01-A1Pentagon Technologies D119224 PVD Dark Space Shield bbb MRC New4Pentagon Technologies Eclipse star MRC PVD Pie Pan Shield D124821-200A2Pentagon Technologies Eclipse Star MRC PVD BSM Ring Tab D129805 New1Pentagon Technologies D129805 BSM Wafer Holder Housing MRC Copper Cu Refurbished5Pentagon Technologies MRC Eclipse  PVD Filler Ring D116049 F V New1bbb 90S 200mm Plastic Calibration Wafer4bbb 90S I/O Expansion/LCD Interface PCB 99-80293-011Edco M356600122  CPU Card PCB New1Gespac GSPIA-4 PCB 9602 New4Lam Research 810-17031-3 ADIO-A0 Control Board Rev. 2 Rainbow 4420 Used Working3bbb ASML VB/VP Sensor Board 80241B-1-01 Working Lot (3)1Electroglas X Theta Driver Assembly 247228-001 Rev. N Working1Lam Research 810-017031R004 ADIO A0 Processor Board PCB 810-17031-2 Used Working1Kokusai SCOM2A A/2 D1E01223B PCB Board working1Lam Research 810-17031-004 ADIO-A0 Control Board Rev. 1 TCP 9100 Used Working2bbb Perkin-Elmer A/D bbbbbbbb Regulator Conversion Board 851-8518-004E Working1bbb ASML Interface Board 859-0866-003B Working1bbb ASML Interface Board 859-0866-003C Working1bbb ASML Perkin-Elmer Interface Board 859-0866-002A Working1bbb Perkin-Elmer A/D bbbbbbbb Regulator Conversion Board 851-8518-004 Working1bbb ASML Perkin-Elmer Interface Board 851-8618-003 Working1bbb ASML A/D bbbbbbbb Regulator Conversion Board 851-8518-005C Working1bbb ASML Perkin-Elmer Interface Board 851-8618-004B Working1Delta Design Sensor Board 1667-195-501 Rev. J Working1Schlumberger Servo Interface PCB Rev. A Working6Texas Instruments TM900/203A- Interface Board 115678002 Rev. B Working1Schlumberger 3064519-000 PCB Board working1Pittman Amplifier Board 276-009-001 C S Rev. E Lot (4) 304-014-122 Working1Therma-Wave Frame Breakout Board 14-018238 Rev. C Opti-Probe Working1Sony UP-5500 Mavigraph Color Video Printer for KLA used working1Tri-Mag TPYA45-4SF DC Three Phase WYE Filter at 47/63Hz working2bbb Commutator PCB 859-8368-003 working2bbb Power Amp PCB 859-8369-008 working1bbb Interface PCB Pair 879-8010-002 working1MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 Used1Oerlikon P1264 300mm Base Ring 102211796 new1Tosoh Upper Shield 805-375-SG-F-280 new2Carpenter Ceramics ALPS 894 Clamp Ring IN0440-1003 new1Carpenter Advanced Ceramics IN0440-1001 Clamp Ring 894 0440-1001 New1AMAT Centura 300mm Deb Ring 0021-19471 new2AMAT Endura 300mm Lower Shield 0021-16287 refurbished1Applied Ceramics Eclipse Cylinder 102054681 new2Balzers MIU 101 Microwave Ignition Unit working1ASML Exciter Lamp 859-0515-006-A Used Working2AMAT Endura 300mm Disk Shutter 0021-19207 new1Varian E1000 Inner Bushing Shield E17124530 new1Tosoh AMAT Centura Upper Shield 805-377-TA-F-104 new2AMAT Applied Materials Centura Inner Shield 300mm 0020-52600 new1Applied Ceramics Eclipse Insulator Ring 300075811 new1Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new1Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new4Tosoh AMAT Endura Upper Shield 805-377-TA-F-157 new3Nikon S204 Ceramic Pin Chuck 12in 300mm NSR-S204B Step-and-Repeat System Used1AMAT Centura Cover Ring 300mm Edwards 0021-18485 refurbished2AMAT Centura Clamp Ring 0020-52627 refurbished1AMAT Centura Shield Clamp Edwards 0021-15610 refurbished2Edwards Kachina 0020-13067 300mm Lower Shield refurbished AMAT Centura2Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm new7Jenoptik 4022.456.0076.2 BXP Lens ASML Cymer New1Nikon Scanner Prism Unit 4L990-797AN working1Jenoptik 4022.456.0075.2 ASML Twinscan AT:1100 Lens 193nm SP1/1443/44 New1Optem International HRTS33N3 Metro 300mm Video Coupler New1Oerlikon Unaxis Insulating Ring 102078649 new4Nikon S306 Depolarizer 4L991-783AN new1Torque Systems BMR2005TCG00CEA002 PM Servo Motor Gerwah DKN 20 Used Working1ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor Used Tested Working1MECS Corp. Wafer Prealigner AL100 untested1Nikon S306 BMU Mirror 4L992-195AN new2Specken Drumag Oerlikon P1264 Stroke Cylinder 102078752 new1Oerlikon 102104213 Unaxis 300mm End Effector Used Working1Oerlikon Mask CPL 300mm 102153723 new12Oerlikon Etch Shield 102161354 new2Edwards Shutter Disk 633-5583-66 AMAT Centura 0021-177253Nikon Metro 300mm MCR-DRV PCB 2S003-056 working1AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New1AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New2MECS Corp. UTX-5000 Robot Controller CS-7000 Used1MECS Corp. Prealigner AL100 untested1V-Tex 06-014 Rollcam Slit Valve 200803-25-0013-02 New Surplus4Fuji Electric EL25-30-V12-UL Earth Leakage Protection Relay EL25P0 New Surplus4Genmark 400800032 Classic Transfer Robot working1LF-5 RFPP RF Power Products 7520572050 RF Generator Tested Not Working As-Is1Georg Fischer Diaphragm Valve 198.150.966 new1Nikon Optistation Cass. PCB 2S700-583-1 working3Nikon Optistation Cass. PCB 2S700-583-1 working4ASML DSX Stepper A1 Prime 8540138001 working2Varian Implanter Bearing Assy. X4064200 rebuilt1Nikon Scanner RPF 9.5% Concave 4G680-334BN new1AMAT 200mm Cover Ring AL 0020-24719 new9Tosoh Endura Upper Shield 805-375-SG-F-312 new1Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new1Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new1Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new3Tosoh AMAT Endura Upper Shield 805-375-SG-F-310 new2Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new6Tosoh AMAT Endura Lower Shield 805-435-SG-F-258 new4Tosoh AMAT Endura Shutter Disk 805-438-TA new1MRC 885-23-000 Digital I/O PCB Eclipse Star Used Working1Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New4Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New2Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New1Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New1Tosoh AMAT Endura Inner Shield 805-381-SA-F-301 New6bbb Eclipse Star Shield Kit 42755-0500 new1bbb Tokyo Electron Eclipse Filler Ring D116049 new9AMAT Endura Upper Shield 0021-17722 new1Nikon Motor CCNT PCB PPAT6403A new1ASML Stepper Library Brake 851-0618-003 working1PULNiX TM-710i High Resolution Monochrome CCD Camera Used Working1ASML A1306 Stage Decoupler PCB 859-0929-006 working2Kensington Laboratories 25-4021-0015-01 Robot Controller AMAT 0190-06994 Used1ASML bbb Catchup Coater 200mm 38522-01 new1bbb Silicon Valley Group 38465-02 Spin Chuck Dev 90SER 150mm ASML bbb 90 New3Metron D131294-02 200mm Ring Seal MRC Eclipse New3Nikon Scanner RPF 6.5% 4G680-389AN new1ASML Stepper UVHR 3 In. Mirror 85418060013bbb ASML 8519609003 bbb Long Stroke Arm Used Working1Aero2 Actuator A2S-50-10-V433R SVF working1Tosoh AMAT Endura Upper Shield 805-377-SA-H3 new1Therma-Wave Interface Board 14-015810 Opti-Probe Working2Therma-Wave 14-018274 INTFC OPT PL TALL PCB Opti-Probe Used Working1MKS Instruments 122AA-00100AB Baratron Transducer Tested Working9MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used2DISCO D3 PMCB EAUA UA-266105 Interface PCB Board working7Nikon Optistation MCR Control PCB 2S014-0384ASML Stepper MS2 + ECU System Board 859-8272-0011ASML Stepper A1211-AFA Module PCB 854-8301-0071ASML Stepper A1208 Digital Focus PCB 859-0743-0181Nikon Optistation OST-3\3A LED-AF Microscope Head 810272Nikon Metro 300mm INX/300 Dual Board Assy KAB11310/201K1ASML 200mm Stepper DSC 7911 PCB 68101160411Omron E5AX-LA02 Digital Controller E5AX Used Working3Omron E5AX-LA02 Digital Controller E5AX Used Working8ASML A5401A/B Preamp PCB Assembly 8590300031bbb 90S Track Station Controller PCB Assy 99-80266-0114Nikon P860 Scanner Kalrez AS-568A O-Ring 4G680-586AN1bbb 90S Track LES E-Chain 99-45762-15 For bbb 8601MSR 99-66564-02 Exhaust Flow Controller bbb 90S Refurbished2Nikon Metro MCR-ISA PCB KAB11000/33011Nikon Hama Wafer Mapping Sensor KAB11310/201K New1Nikon RFP 2% Concave 4G680-526AN New1AMAT Applied Materials 0225-05707"XB" 6" Focus Rings ACP Lot of 251Nikon RFP 1W bbbb 4G680-674AN New1Nikon Optistation TCCNT PCB 2S014-035-5 Working7Nikon Optistation TCCNT PCB 2S014-035-5 Working7Oerlikon USA CPL Sensor 10284242 for Unaxis 300mm New3ASML 8518518005 A/D TRANS PCB Used Working4Nikon Optistation MST Board S2015-064-4 Working4Nikon Optistation SCPU PCB S2014-033-4 Working13Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working1Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Used Working16Nikon Optistation MIC-CNT PCB 2S005-230 Working1Nikon Optistation MCR DRV PCB 2S003-056 Working1Oerlikon Unaxis 300mm CU Cylinder C40 102185985 New1IDL Semiconductor Equipment Unload Arm 8790135003 for ASMLP8601Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 v1.09 U5 OPTISTATION 3 Used2bbb 90S Multiple Sensor Motherboard 99-80271-016bbb 90S DC Distribution PCB 99-80308-01 MCE Mount3Nikon Optistation VDrive Lead Screw 9700-4698-014Varian GPI 6U PCB 4428900 Working2AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working9Hamamatsu 8790084002 PMT Detector ASML SVGL8601Nikon Optistation MCR-CNT Board 4S005-341-2 Working1Hine Design PCB 778-2I Working1FSI International PC Interface Board 233-5002-00 Working2FSI International Communications PCB 233-5004-24 Working1Nikon Optistation Stage Interface PCB 2S003-038 Working1Nikon Optistation Camera Coupling Sort 81254 Working2bbb 90S GP Temperature Transmitter 303-075 Working2Metron Tech Analog bbbbb PCB 882-70-000 New TAZ Eclipse1Nikon Fostec 8 Inch Single Lightline 80952 Working3Nikon Fostec Macro Slit Illuminator 80951 Working3Applied Materials AMAT H2/N2/HE Gas Line 0050-25218 New1Nikon Metro 300mm Motor Door Latch 9700-6101-01 New2Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board Used Working1Nikon Optistation MCR-ISA PCB 2S014-065 New2Nikon Dual Board Assembly PCB 9700-5327-01 Working1Gunda/Neugart Motor & Gear Assembly PAC11.1.1SBCA New1Thermo Electron Proteus 1 NPT Flow Meter 8952 New1Varian Graphite Entrance Liner E17175160 New1Oerlikon USA Unaxis Fixload Control PCB 102105235 New1bbb 90S Inflatable Bladder Filter 68-20170-908 New1Nikon 9700-5808-01 Load Port Latch Key Optistation New3CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New7bbb 90S Wafer Flip Arm 87-90661-001 New1Nikon Optistation Amp Unit 2S259-012 New3bbb 90S Short Stroke Arm 85-19607-003 Working1Nikon POD Advance Lead Screw Assy 9700-4703-01 New1Varian Kestrel Mini-Convectron Gauge 4179100 New1Varian Charge Exchange Shield E17293680 New1Brooks Genus InCooler Cooling Station 001-4700-121Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New1Shimadzu EI-D3603M Turbo Molecular Pump Controller AMAT 0010-32353 Working8TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 SW2.6 Used Tested Working1A.C.T. Kern 15" Display Monitor LI 1503N-CNDV working1Schaffner Power Filter FN356-25-24 working pair1Nikon NSR LM I/F PCB Board 4S018-214-1 working1Verteq 8045R4-2 Teach Pendant Used Working1Hitachi 3-833468-01 Upper Cover Set of 2 New Surplus2Hitachi Lower ER Covers 3-833469-01 new4AMAT CMP Polisher Kit 0240-06458 new2STEC SEC-7330M Mass Flow Controller 200 CCM SF6 Refurbished1AMAT 300mm Internal Membrane Clamp 0021-179721MKS Instruments 122AA-00010-B-SP023-87 Baratron Pressure Transducer Used Tested1MKS Instruments 122BA-00100EB-S Baratron Pressure Transducer Used Tested8bbb Tokyo Electron 28214531T1140 200mm Electrode Cover New1MKS Instruments 122A-11063 Baratron Pressure Transducer Used Tested Working5AMAT Slurry/HPR Arm 0040-77267 working3MKS Instruments 127AA-00002E Baratron  Pressure Transducer Used Working1MKS Instruments 122B-11993 Baratron Pressure Transducer Used Tested Working24Nikon NSR-202 Stepper Power Controller RR-002V1.31Carten Valve 300003-02 Lot G375PC2R LV P625 Body new1Carten Brass Valve 300004-02 Lot G625PC2R new1Carten Brass Valve 300028-02 Lot G500PC2R new1TDK ZAGT2280-M Noise Filter  250VAC lot of 3 working1Nikon NSR S202 RL-LIBHT1 PCB 4S018-473-2 working1Nikon NSR S202 Vacuum PCB WL3PNL2 4S018-058 working1Parker 1396.020 Stepping Motor Drive Digiplan AM5 Used Working6Nikon NSR S202 Light Source Module working1Nikon NSR S202 Wafer Mask Reticle Indexer working1TDK RM 12-12RGB DC Power Supply Nikon NSR Tested Working1AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel1AMAT Applied Materials 0030-76002 P5000 Mark II Front Cassette Bezel2AMAT Applied Materials 0100-09175 Interlock Board TEOS P5000 Precision 50001Omega 6 Channel High Temp Alarm CN101 working1DIP Inc. CDN396 Bi-Directional Digital I/O PCB Card 15039603 New Surplus6MKS Instruments CDN396R PCB Card AS01396-6-3 New19MKS CDN391R PCB AS01391-22 0190-26786 Used Working40Shimadzu EI-D3603M Cable Set 262-78189-51V1 262-76411-51V2 AMAT 0620-02820 New1XP Power 10006055 DC Power Supply F7B6J6J6G2 New Surplus13Vicor MegaPAC DC Power Supply MP5-76563 New 1140-003846Delta Design Model 1210 1666339 Rev R Handler Assy PCB working4Delta Design Model 1210 Handler Assy PCB 1666339 Rev T3Machine Tech. Motor Control III PCB 2217605501 Working3Machine Technology 2217605132 Processor II PCB Used Working1Machine Technology Processor II PCB 2217605133 Working1Mattson Technology Fiber Optic Assy. 263-14789-001SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used8SCP Santa Clara Plastics 3270091G Process Controller SCP 9200 Wet Bench Used2Santa Clara SCP 9200 System Status Module 3270091G2Santa Clara SCP 9200 System Status Module 3270091G1SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working8SCP Santa Clara Plastics 3270091G QDR Controller SCP 9200 Wet Bench Used Working3Cincinnati Electrosystems DynaComp 13" Monitor MB14 working2MDX-052 AE Advanced Energy 3152052-000 Magnetron Remote Interface Used Tested1AMAT Controller Backplane Board 0100-20454 working8PRI Transfer Robot ABM-507B-2-S-CE-S293 working1Yaskawa VS mini Drive Controller CIMR-XCAA21P5 working1Eurotherm 425A SRC Controller 40A/240V/220V240 working11AE Advanced Energy 3152189-000H LM-1.25K RF Match Used Working5Siemens bbbbb Module 6ES5 318-8MB12 working31Tylan 2950 Series MFC Lot FC-2950MEP5-4V untested1Cymer Signal Conditioner Board 05-05031-15V Working1Delta Design 1686300-501 Power Distribution Board PCB Rev C1Delta Design Power Distribution Board 1686300-501 Rev D1Delta Design 68008 Bd PCB 1657925-501 Working2bbb Station CPU Board 80166FE-01 Working4bbb Station CPU Board 80166F1-01 Working3TLA Technology, Inc. PCB 519-000 Working1VMIC VMIVME Model 5530S PCB 333-000132-C  Working2Delta Design Push Bar/LCD Control PCB 1669755-5011Delta Design Quad Pressure Sensor Board 1669755-5011Electroglas X Theta Driver Assembly 247228-001 Rev. R Working2Force Computers 300000 CPU PCB Card SYS68K/SASI-1 Lam 17035-1-B-6562347 Used1Siemens Simatic Analog bbbbb 6ES5 464-8ME11 working16Siemens Simatic Relay Output 6ES5 452-8MR11 working8Siemens Simatic Analog bbbbb 6ES5 464-8MC11 working36Siemens Simatic Digital Outp DO Regulator Retrofit Kit 0190-07593 new P16-02-01Q J025ABB SACE Isomax S3 Industrial Circuit Breaker S3B new 31042601Tescom Pressure Regulator 44-2361-T9-051 new43Tokyo Electron D116700 Rev. B Etch Shield New2Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working1Fuji Seiki Inc. BV-4AXO-HF Throttle Valve 100mm working2UNIT 8560 MFC Mass Flow Controller UFC-8565 300cc SiH4 new 3030-105852bbb Tokyo Electron WVG-S2-Y-IBY Water Vapor Generator Cable Set working1ATMI Scrubber Dispenser SP4AAAAD-140304-N New1Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-10542 600cc He New2NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L New2Tokyo Electron P-8 QMC3 Board 3281-001184-17 Used Working1Tokyo Electron P-8 GPIB Board TVB3401-1/GPIB 3281-000019-15 Used Working1Unit UFC-1000 MFC SF6 50CC Mass Flow Controller Refurbished1bbb P-8 SIO Board 3281-000014-16 Used Working1MKS Instruments 128AA-00010B Baratron Pressure Transducer Type 128 Used Working1AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used1Sti OF4172B-2 OptoFence 72" Light Curtain Reciever Transmitter Set Used Working2Tokyo Electron P-8 PST-STD PCB 3281-000142-12 Used Working1Edwards D37272800 Pump Display Terminal Controller Used Tested Working1Edwards D37272800 Pump Display Terminal Controller Used Tested Working4Edwards Gate Valve  ard DIE01277B MC16/A2 MSUB16/A1 Used Working1Kokusai Vertron Power PCB PFS/A1 D2E01080A Used Working1TDK TRM021GB DC Power Supply working Kokusai Vertron1KLA Instruments 710-806050-01 Video Interface PCB bbb Tokyo Electron P-8 Used1Acumen 10019 Vision Card Rev. E PCB bbb Tokyo Electron P-8 Used Working1Motorola bbb P-8 Control Board MVME 147-023 3286-000516-12 Used Working1Electroglas Wafer Loader Interface PCB 248228-002 Rev. N Used Working1Electroglas 254921-001 Prealign Module Interface Board PCB Rev. B Used Working3Elctroglas Lens Illuminator Assembly 255337-001 Rev. A Used Working1Electroglas 200mm Prealigner Assembly 4085X Used Working1Electroglas 4085X Camera Assembly 250957-001B 249006-001F 249014-001B Used2Electroglas 4085X 247012-001 Rev. E Drive Shaft Assembly Used Working2Electroglas Remote Microscope Control 4085X Used Working1Electroglas 248057-001 A End Effector Assembly Used Working1Exynetics-Electroglas Display Control Module DCM3 Used Working1bbb Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used1bbb Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used1bbb Tokyo Electron 3208-000091-11 PCB AIR/HF Interconnect 3281-000091-11 Used1bbb Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used2bbb Sony P-8 Camera Module M8C37404-1 XC-75 Used Working1Tokyo Electron P-8 Control PCB 3281-000138-12 Used Working1Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working1KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used1KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used1KLA-Tencor 0033116-001 Mirror and Lens Assembly 0033233-001 AIT Fusion Used1Telemecanique ATV18U29M2 1.5kW 2HP Inverter Square D ALTIVAR 18 Used Working1Motorola 01-W3866B54B SBC Single Board Computer Card MVME 162-262 Used Working1Asyst Technologies 06763-005 48V Control Board PCB ABX-66242-09-00 Used Working1GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 As-Is1GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. H A89-013-01 Used1GaSonics A95-108-02-RE-E LED and Interface Control Panel PCB A89-013-01 As-Is1GaSonics A95-107-01 LED and Interface Control Panel Rev. J Used Working3Edwards NGW415000 Pneumatic Gate Valve Copper Exposed Damaged Connector As-Is2Contec DAI12-8C(PC) Isolated 8 Channel Digital Analog PCB Card 9867C Used3Contec ADI12-8CL(PC) Isolated 8 Channel Analog to Digital PCB Card 9858B Used6Contec BUS-PAC(PC)E ISA Bus Expansion Board PCB Card 7024F Used Working3Contec COM-2(PC)F Communication Board PCB Card 7065 Used Working3Acrosser Technologies AR-MB11 Backplane Board PCB VER:2.1 Used Working3Omron C200H-PRO27-E Hand Held PLC Programming Console PRO27 Used Working1Hitachi CR-712T-AC Wafer Transfer Clean Robot Sanki Technos Used Working1Hitachi CR-712T Series Wafer Transfer Clean Robot Sanki Missing Sensors As-Is1Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used2Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used3Hitachi CR-712VC Wafer Transfer Clean Robot Sanki Technos Used Working2bbb Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is1bbb Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is2bbb Tokyo Electron IRA Interface Block Robotics Arm ACT12-300 300mm Used Working1bbb Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used1bbb Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used1Sanyo Denki 103F7851-70XE44 Stepping Motor StepSyn Reseller Lot of 5 Used3DNS Dainippon Screen PC-02032C-0123 SIF Interface VMEbus Card PCB SIF-A Used6Advanet Advme1522A Fiber Optic Interface VMEbus Card PCB Advme 1522A Used1SRC R14870 VME Systembus 20-Slot Backplane Board PCB Used Working1SRC SVB-03E VME Systembus 32 Bit IO Bus Expansion Back Board PCB Used Working1Ramix PMC422/423 Timer and Interface Board Omron H3FA-SA Used Working1A-B Allen Bradley 96844675 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used1A-B Allen Bradley 96844671 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used1A-B Allen Bradley 96133673 High True bbbbb Module PLC PCB Card 1771-IBN/B Used2A-B Allen Bradley 1771-IBN/B 10-30 VDC High True bbbbb Module PLC PCB Card Used1A-B Allen Bradley 1771-IBN 10 To 30 VDC High True bbbbb Module PLC PCB Card Used3A-B Allen Bradley 1771-OBN 10 To 30 VDC High True bbbbb Module PLC PCB Card Used6A-B Allen Bradley 1771-OFE2 B Analog Output 12 Bit Module PLC PCB Card Used6A-B Allen Bradley 1771-IFE A Analog Intput 12 Bit Module PLC PCB Card Used6A-B Allen Bradley 960203 CFI Backplane Board PCB 96066522 Used Working2Nikon HFE S58 Power Supply Module MCC-847-03 NSR-S307E Used Working1Panasonic MSMA022S2F AC Servo Motor bbb Tokyo Electron Lithius Used Working1Omron 3G8B2-NI000 Interface PCB Card bbb Tokyo Electron 3286-002065-11 P-8 Used2bbb Tokyo Electron 3281-000086-14 PCB Loader Cont Mother 3208-000086-12 P-8 Used2bbb Tokyo Electron 3281-000095-13 PCB PST OPT Card 3208-000095-11 Used Working2KLA Instruments 6001755-03 DP Video PCB Card bbb 3281-000051-11 P-8 Used Working2KLA Instruments 710-806050-01 IP Video PCB Card bbb 3281-000050-11 P-8 Used2Acumen 200-1019 Camera VMEVC PCB Card 10019 bbb 3281-000124-11 P-8 Used Working2bbb Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used2bbb Tokyo Electron 3281-000094-11 STAGE IO MOTHER Backplane PCB Used Working1Schroff 23000-207 VME 7-Slot I-O Bus Backplane PCB bbb Tokyo Electron P-8 Used1Schroff 23000-020 VME Systembus 20-Slot Backplane PCB bbb P-8 Used Working1CCS PD-3024-2 (CE) 2-Channel LED Light Controller Used Working1AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left Assembly1Asahi 130NK 3-2A AVIS2 ERG AMP Nikon 4S013-374 4S587-011-1 Used Working1Premium NP-0588 AC/DC Power Supply Card ASML 4022.471.84293 Used Working1Coherent 0169-628-04A Laser Emission Control Module 170C Innova Used Working1Varian L6281701 Pneumatic Angle Valve NW-16-A/0 L6281-701 Lot of 3 Used Working1CKD USG3-X0693 Vacuum Valve Hitachi S-9300 CD SEM Used Working1OEM-650A ENI OEM-6A-11491-51 Solid State Power Generator Not Working As-Is1National Instruments 196848A-01 PXI Controller PXI-1031 OEM 1923725001 Used1Novellus Systems 15-142376-00 Wafer Align Viewport L/LK VCTR New Surplus10AMAT Applied Materials 0041-26196 Gasbox PVD Chamber 300mm Base new1Nova Measuring Instruments 210-40572-01 Main Center Board PCB Used Working1Protech 960560-G4B SBC Single Board Computer PCB Card P5/6x86 SBC Used Working1Imagenation CX100-10 Frame Grabber ISA Card PCB NovaScan 840 Used Working1Nova Measuring Instruments 210-40530-00 DAB Card PCB NovaScan 840 Used Working1A.C.S Electronics SB214PC-E Controller Board PCB Card P.S.-6 NovaScan 840 Used1Nova Measuring Instruments 210-47024-01 Interconnection PCB NovaScan 840 Used1Advantech 190261060 PC-BUS Backplane Board PCB Advantech NovaScan 840 Used1Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Used Working2TMC Micro-g Gimbal Piston Isolators Set of 3 14"x8.25" Used Working1AMAT Applied Materials 0100-00360 H.V/A.MAG Motherboard Backplane PCB Used1AMAT Applied Materials 0100-00361 H.V/A.MAG Motherboard Backplane PCB Used1AMAT Applied Materials 0100-90588 H1 B/L Vacuum Control Motherboard PCB Used1AMAT Applied Materials 0100-00305 Target System Vacuum Motherboard PCB Used1AMAT Applied Materials 0100-94012 Plasma F-Gun Control Motherboard PCB Used2Tosoh Quartz 70290-01 Manipulator Assembly New Surplus1AMAT Applied Materials 0040-41846 Membrane Support Fixture 300mm Titan Head New1Futaba DB9409-001 Junction Box 2S067-013 HPS-FB6 Nikon 4S061-844 Used Working1AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new2AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new4IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Used Tested Working1IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT Used Tested Working1iGX600L Edwards A54630958 Dry Vacuum Pump IGX 600 L Factory Refurbished1Komatsu 20001940 Controller MCU-04TM bbb Lithius Interface Block IRAM Used1Komatsu 20001940 Controller MCU-04TM bbb Lithius Interface Block IRAM Used2SMC INR-244-272A Power Supply 2TP-0C203 bbb Tokyo Electron Lithius Used6Yaskawa XU-DV0704Z Linear Motor Controller 4S586-561-4 Nikon NSR-S204B Used1Yaskawa SGMAS-12ABA-TE12 AC Servo Motor bbb 5085-407038-11 PRA Lithius Used3Yaskawa SGMAS-12ABA-TE12 AC Servo Motor bbb 5085-407038-11 PRA Lithius Used3Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 8 Used1Aerotech 1035-01-1000-01 Magnet Servo Motor 1035DC/MO/E1000MB Working1Yaskawa SGMAS-A5ABA-TE12 AC Servo Motor bbb Tokyo Electron Lithius Used Working6bbb Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working1bbb Tokyo Electron OYDK-052 ANALOG BOARD 0-1 #02 PCB Lithius Used Working4bbb Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius Used2bbb Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius Used Working3Omron G9SC-140-TE3 RY MTR #02 PCB Board bbb Lithius Used Working2Omron G9SC-140-TE3 RY MTR #02 PCB Board bbb Lithius Used Working11Omron G9SC-140-TE2 RY SPIN MTR #02 PCB Board bbb Lithius Used Working6bbb Tokyo Electron HA-015 RY CHEM #02 Board PCB Lithius Used Working3bbb Tokyo Electron HA-028 JCT DC #02 Board PCB Lithius Used Working2Sanyo Denki PY2B050C62S8P01 Servo Amplifier BL Super PY bbb Lithius Used Working2Sanyo Denki PM-UDP1S06-20 PM Driver bbb Tokyo Electron Lithius Used Working2Yaskawa Electric SGDM-10ADAY751 Servo Driver SERVOPACK bbb Lithius Used Working2Harmonic Drive Systems 9800033901 Servo Drive HA-655–2-200 bbb Lithius Used2Sinfonia Technology SCE92100137 Wafer Robot bbb Tokyo Electron T-3044SS Used1FEI Company 18572-H AVA Controller Module 18098 Used Working1Mitra 9415 031 68301 Power Supply PE3168/30 FEI Company 4022 192 57342 Used1ASML 4022.437.1121 Laser Phase Modulator Box Used Working2Kokusai Electric CQ1400A Digital Direct Controller Accuron CQ-1400A Used Working1Kokusai Electric CQ1500A Digital Direct Controller Accuron CQ-1500A Used Working1Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used1Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used1Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used2Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used4Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used2Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used2Tokkyokiki 2-200 Pneumatic Active Damper Set of 4 Used Working1Asyst Technologies ABX-78355-26-00 48V Control Board PCB 06764-005 Used Working2Ansul 442R Agent Release Alarm Panel Used Working3Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Used Working3SMC INR-244-230V Thermo-Con Power Supply PP 12inch Used Working1SMC KP1210116B Thermo-Con Power Supply PCB PWB0015B Used Working1SMC KP1210115B Thermo-Con Power Supply PCB PWB0014B-1 SPS-001 Used Working1SMC 2TP-4A231 Thermo-Con Power Supply Interface PCB Used Working1J&M Instruments VLP30100SSX N2 Pressure Controller ASML 4022.436.8286 Used3Extrb 4022.486.75471 Charcoal Molecular Base Filter System ESI004802 Used1Fuji Electric PPMCFBR2-M AC Power Monitor Type PPM Used Working1TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Untested Damaged As-Is1bbb Tokyo Electron 381-640804-9 LED Display Board PCB Mark-8 Clean Track Used1bbb Tokyo Electron 381-643319-5 Arm Interface Board PCB Mark-8 Clean Track Used1bbb Tokyo Electron AB12C-6029 Mark-8 Star/Stop Panel F3297380(4)A PCB Used3bbb Tokyo Electron 1381-645732-16 OPEPANE CONN Board #2 PCB Mark-8 Used Working1bbb Tokyo Electron 1381-645731-13 I/F SOL CONN Board #2 PCB Mark-8 Used Working1bbb Tokyo Electron 381-642446-1 I/F FPA Connection Board PCB Mark-8 Used1Sansha Electric HKD-1510BT Metal Surface Treatment Power Supply SanRex Used1Nikon RTB01-100 LED Indicator Display Board PCB with Harness Used Working1Lam Research 810-17025-3 RF Generator Cart Breakout Board PCB 710-17025-3 Used2SoftSwitching DS10025A208V1SH1000C Dynamic Sag Corrector MINIDySC Used Working6Brooks Automation TLG-I2-  PCB 539-52358 Used Working1Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used6Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used2Arcom Control Systems TLA 551 Thermistor Elecrode Sensor Board PCB RCI-F Used1Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100 Used Working1ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. B QLC-5100 Used Working5ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. F QLC-5100 Used Working1Hamilton 0162573 PSD/2 Syringe Pump HVX Drive LU0960 ECI QLC-5100 Used Working1Kokusai Electric D2E01448 Interface Board INT-MB PCB Card Used Working2Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working1Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working1Countant Lambda E60744 Power Supply Omega MML600 45JY8 12CY8 Used Working1Lambda CSF250NM 5/12 5/12E Power Supply Sirius H70023 Used Working1Kniel System-Electronic CMP 0810 Power Supply Card ASML 4022.436.48921 Used1SMC INR-244-271A Controller Assembly 4TP-1A860 bbb Tokyo Electron Lithius Used1PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-003 Kollmorgen Used3PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-005 Kollmorgen Used3NSK EMLZ10CF1-05 Servo Drive Motion Controller Used Working14ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working1ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working1bbb Tokyo Electron FPD BOX Panel PCB 3D81-000058-V2 TYB61S-1/RSC Used Working2bbb Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working2bbb Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working1bbb Tokyo Electron 3D81-000096-V1 Processor PCB Card TYB513-1/IOGS Used Working3bbb Tokyo Electron 3D81-000097-V1 Processor PCB Card TYB514-1/IO48 Used Working3bbb Tokyo Electron 3D81-000039-V2 Interface Board PCB TYB62F-1/PUMP Used Working2bbb Tokyo Electron 3D81-000103-V1 Interface Board PCB TYB62E-1/RF Used Working2bbb Tokyo Electron 3D81-000010-V2 Backplane PCB TYB614-1/RFPD Used Working2Hitachi BBM308N-2 Backplane Interface Board PCB M-511E Used Working1ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working1ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working1Hitachi CHB308N-2 Backplane Cable Interface Board PCB M-511E Used Working2Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3 Used Working4Toshiba MCC-1310-01 Transber Relay Board PCB Used Working4Toshiba MCC-1369-02 Power Distribution Board PCB Used Working4Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S307E System Untested As-Is2Nikon 4S018-173 Air Diver 2 Pneumatic Driver Assembly AIRDRV2 Used Working1Nikon KAB11000/1360-0 200mm Wafer Chuck KAB11000/A301-7 OPTISTATION 7 Used2Power-One RPM5H4H4KCS673 Power Supply Dual Module 2500W Used Working1Power-One RPM5A4A4C1CS676 Triple Output Power Supply 2500W Used Working1Power-One RPM5CSCSKCS674 Dual Output Power Supply 2500W Used Working1ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working1ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working1Power-One RPM5GEDEC1CS669 Triple Output Power Supply 2500W Used Working1AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used2AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used1AGM Electronics Direct Current Transmitter TA4000-13 Lot of 7 Used Working1SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used1SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used1AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working1AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working1AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working4Philips Programmable High Resolution Counter Timer c Used Working1Nikon Optistation 7 APW Exhaust Blower 1NB412S77 working7Digital Dynamics SIOC Anneal Controller 02-134495 Rev. A Used Working1Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working1Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working2MMPIC Scanner Drive MMPIC-20A-3 Lot of 2 Used Working1Lambda LFS-50-5 Regulated Power Supply Used Working1Lambda LFS-50-5 Regulated Power Supply Used Working1Power-One RPM5C4C4D4LCS677 Triple Output Power Supply 2500W Used Working1Komatsu Electronics ABBBA0011000 Heat Exchanger Power Supply GR-712 Used Working1Hamamatsu H8008 Photomultiplier Tube Used Working1Edwards NGW415000 Pneumatic Gate Valve Used Working1Edwards NGW415000 Pneumatic Gate Valve Used Working1KLA-Tencor 655-774157-000 Imaging Inspection Lens Assembly 2365-UI Used Working1Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System Used1KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working1KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working1KB Electronics KBPI-240D (3736) DC Motor Indexing Control PENTA-DRIVE Used1Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working2Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working6MKS Instruments 750B11TCD2GG Baratron Pressure Transducer Used Tested Working2Panel-Tec Operator Terminal ST2000 REL Rev. 3.60R Used Working1bbb Tokyo Electron 2981-600316-11 Temperature Control Connection Board PCB Used1CTI-Cryogenics 8186518G003R On-Board IS Controller Rack Mount Panel Used Working1Helix Technology 8186263G001 Power Supply Board PCB HLX CTI-Cryogenics Used1Helix Technology 8186052G001 CPU Processor Board PCB CTI-Cryogenics Used Working1Yaskawa XU-RCM2500T-4 Robot Lot of 2 Nikon KAB11320/201A-4 OPTISTATION 7 As-Is1Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 31231-1 Used Working5Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 35942-1 Used Working2Watlow TLME010DDDDDDDD Temperature Monitor TLM-8 Anafaze Lot of 3 Used Working1Teknic SST-1500-XCX Servo Drive SST ServoStepper Used Working1Teknic SST-1500-111 Servo Drive SST ServoStepper Delta Design 2100865 Used1Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used3Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used4Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used5Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100953 Used10Pall PHD11ANMEH11B PhotoKleen EZD-3 Filter Reseller Lot of 2 New Surplus4MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. F Eclipse Used3VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working1VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working1VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working1Mykrolis QCCYATM01K Filter Catridge QuickChange ATM Chemlock New Surplus3Progressive Technologies A48031SSLV-L Pressure Valve SENTRY 1500 Used Working1Asyst Technologies 06763-805 48V Control Board PCB 06763-705 Used Working1Genmark 95033E0021 GNK Robot Indexer and Chamber ASM Epsilon 3000 Untested As-Is1AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Refurbished3Fuji Electric YD317551CC01 Servo Driver Processor Board PCB Used Working1Surpass DV-5000R Digital Pressure Sensor Monitor Display 500 kPa Lot of 4 Used3Surpass DV-5000R Digital Pressure Sensor Monitor Display 300 kPa Lot of 4 Used1Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6 Used1Pixeb PX18HB1-L6-XAXC 18" LCD System Display bbb 879-8179-002 Used Working1Barnant D-1804-3 Motor Masterflex Cole-Parmer Pump Head 70 15-21 Used Working3Rara Electronics IRV500 Dynamic Brake Resistors Reseller Lot of 2 Used Working5DIP Incorporated EH0111(C)-10C Power Supply PCB EH0111 DB-D56-101E Used Working2DIP Incorporated EH0111(C)-12C Power Supply PCB EH0111 DB-D56-101E Used Working4DIP Incorporated EH0111(D)-12C Power Supply PCB EH0111 DB-D56-101E Used Working4bbb Tokyo Electron MPC-T0059A-11 Interface Board PCB IO MTR #03 TOB1059 Used2bbb Tokyo Electron AP9Z-1700B Interface Board PCB IO PIR #01 TKB7110 Used1bbb Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121 Used1Pacific Scientific R24HENA-HA-EB-NV-00 Brushless Servomotor working1Lambda LFQ-30-1 Regulated Power Supply Used Working1Lambda LFQ-30-1 Regulated Power Supply Used Working2Lambda LFQ-30-1 Regulated Power Supply Used Working2Lambda LFQ-30-1 Regulated Power Supply Used Working14Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used1Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used1Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used2Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used1Lambda LFS50-5-43641 Regulated Power Supply Used Working90Lambda LFS50-5-43641 Regulated Power Supply Used Working7Shinko 0TS12B-M2-1 Robot Servo Controller CNT Used Working1Cosel P300E-24 Power Supply Used Working2Cosel P300E-24 Power Supply Used Working2Lambda LDS-P-15 DC Regulated Power Supply Used Working11Lambda LDS-P-15 DC Regulated Power Supply Used Working22Pro-Face HTK270M HMI Touchscreen Graphic Panel Used Working1Omron PLC Module C200H C200H-ID212 C200H-0C22H C200H-NC112 C200H-LK201-V1 Used1Nova 153-00000-01 Wafer Handling Assembly Used Working1bbb 879-8210-001D A3101 Signal Conditioner Board working1VAT 0200X-BA24-AIE2 Pneumatic Slit Valve with Mounting Bracket Used Working7bbb Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used1bbb Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used4Nemic Lambda LWT50H-5FF Open Frame Power Supply Board PCB SCB103B Working Spare1bbb Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used1Texas Microsystems P54C Greyhound 2 CPU Board PCB 902-F 21487A Used Working1Nikon NSR S204B S-2A5801 Optical Assembly S-EX3L3403 working1Shinko MA-18305 LED Panel Board PCB SW Used Working1Simco 4009180 Ionizing Bar Controller VISion Delta 2100944 Used Working1TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working3TeleFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working5TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working3TeleFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working1TeleFrank GmbH 013501-186-27 Load Port Interface Connector Used Working2TeleFrank GmbH 013501-186-27 Load Port Interface Connector Used Working2SMC 1-Port Pneumatic Manifold bbb Tokyo Electron 3D80-000055-V1 Used Working2MRC Materials Research A119715 Eclipse Star RF Etch Chamber Assembly Untested1Yasunaga YP-20V Air Purge Pump 2985-511354-12 bbb ACT12 Used Working2bbb Tokyo Electron 2986-400745 Precision Chill Plate 850 ACT12 Used Working1bbb Tokyo Electron SHU Shuttle Slider Assembly ACT 12 300mm Used Working1bbb Tokyo Electron Flow Pressure Gauge Panel ACT 12 Clean Track1bbb Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used1bbb Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used1bbb Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used2Daihen ES7 RF Power Generator Used Working1Pearl-Kogyo ES7-IIA High Voltage Power Supply Hitachi M-712E Used Working2Sanyo Denki 103H3505-30GEJ2 Stepping Motor G10-308 bbb Lithius Used Working1Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator bbb ACT12 Used Working1Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator bbb ACT12 Used Working2Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator bbb ACT12 Used Working6bbb Tokyo Electron 300mm Wafer Transition Station Interface Block Lithius Used1bbb Tokyo Electron HA-033 DC/DC COV #06 Board PCB PHA-033-0 Lithius Used Working1CKD LYX Pressure Valve Transducer Assembly Lot of 2 LYX-0507 LYX-0615 Used1Sanyo Denki 103H5510-70E1 Stepping Motor bbb Tokyo Electron Lithius Used Working4Tokyo Keiso UCUF-06B/ZT Photoresist Pump Assembly bbb Lithius Used Working17CKD PMM20-8BUR-HG-TC Pneumatic Valve Reseller Lot of 4 bbb Lithius Used Working2bbb Tokyo Electron SH5M015T1 Photo-Resist Filter FMVLS F16SS VCO Lithius Used8bbb Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working2bbb Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working2Yaskawa Electric SGMAH-02A1A4S AC Servo Motor Assembly Used Working2Oriental Motor PH268M-E068 2-Phase Stepping Motor Vexta Used Working2Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Used2Matheson SEMI-GAS GSM-468 Gas Safety Monitor Cabinet SGS Halocarbon 14 CF4 Used1Agilent E1708A Remote Dynamic Receiver with Cable 10880-60201 Lot of 2 Used1Sumitomo CNFM1-4095-11 Induction Gearmotor with FA-Coder 48-2500P4-L6-5V Used1Rudolph Technologies A23516BRT0423 Load Port Switch Module Used Working1Edwards W60730000 Pressure Monitor Analog Out 100V 1570 Used Working7Kokusai CB100A SECS Interface with GPNET OPT-23S Fiber Optic Modem Used Working8Irie Koken 1SV25M0 Manual Angle Valve Reseller Lot of 3 Used Working3Baldor BSM80A-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working2Baldor BSM80N-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working1Schott 20800 Fiber Optic Light Source Nikon 80962-1 Illuminator 80952-0 Used2Schott 20800 Fiber Optic Light Source Nikon 80962-2 Illuminator 80951-0 Used1CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-OB5S Used Working1CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-IB5S Used Working1Allen Integrated Assemblies AP14231 Backplane Connector Board PCB Used Working3Allen Integrated Assemblies AP10204 Main Processor CPU Board PCB Used Working1Allen Integrated Assemblies AP10204 Main Processor CRSD 1037 CPU Board PCB Used3Hitachi UNIT 2 DC Power Supply M-712E Shallow Trench Etcher Used Working2Hitachi UNIT 3 DC Power Supply M-712E Shallow Trench Etcher Used Working2Keithley PIO-SSR-120 Multi-Channel Parallel Digital I/O PCB Card Used Working2Axiomtek SBC8168 SBC Single Board Computer PCB Full Socket 370 CPU Card Used2Axcelis Technologies 544621 8 Port Serial Card PCB 544611 Used Working1Axcelis Technologies 544622 8 Port Serial Card PCB 544612 Used Working1Keithley PIO-SSR-48 Soild State Relay PCB Card PC9532 14305 PIO-SSR-24/48 Used1Eaton 471882 Front/Rear Control Switch PCB Module 471872 Used Working2IEI World 010PCI19S-00-0E1 Industrial Passive Backplane Board PCB PCI-19S Used2Daihen RGA-10D-V RF Power Generator bbb 3D80-000826-V3 Used Tested Working2bbb Silicon Valley Group 859-9426-007 Wafer Inspection Stage Used Working1Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working1bbb Tokyo Electron 3D08-000063-11 Processor PCB Card Used Working1AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used1Oriental Motor A5243-042 0.75A 5-Phase Driver Vexta EB4008-2V Used Working2Oriental Motor A5813-042 1.4A 5-Phase Driver Vexta EB4008-2V Used Working2Oriental Motor A5231-44 5-Phase Driver 1.4A Vexta EB4008-2V Used Working2Oriental Motor A6376-44 5-Phase Driver 0.75A Vexta EB4008-2g Used Working4Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 00 Used8Advantest BGD-022241 Processor PCB Card PGD-622241BB 22 Used Working1TDK PSK-144B MAIN Interface PCB Card Assembly PSK145A PANEL Used Working1ASTeX 34-0017-02 Liquid Chemical I/O Smart Controller PCB Card Used Working1ASTeX 34-0153-00 X126 RS232 Remote Control Board PCB Card Used Working1ASTeX Applied Science & Technology 34-0151-00 User Interface Board PCB Card Used1Power Architects 7 4 D2A7 Power Supply PA-1319 Nanometrics 9062-018221 Used1AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used1Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used Working4Hitachi Wafer Alignment Unit M-511E Etching System Cut Wires Untested As-Is1Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used1Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS SST100760110 Lot of 5 Used1Integrated Power Designs SRW-115-4005 Power Supply Air Products 287-605230 Used4bbb Tokyo Electron HTE-IFA-A-11 IFB Add On Board PCB TAB1300 Lithius Used1bbb Tokyo Electron A FILE II New Surplus1Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus8Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL New Surplus13Varian 233355097 Pneumatic Vacuum Angle Valve NW-40-A/O Used Working4Hine Design 94-3374 860 Vacuum Arm Assembly RND QTZ 01102-003 Asyst Refurbished1Fusion Semiconductor Systems 092381 UV Probe Meter M150Z with Probe & Cable Used1Fusion Semiconductor Systems 092381 UV Probe Meter M150 with Probe Used Working1Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-01 Sensor Used1AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used1AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used1AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used1AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used1AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used1IPUP A100 Alcab A100L Dry Vacuum Pump AMAT 170 Torr Not Working As-Is1Daihen RGA-20C-V RF Power Generator bbb Tokyo Electron 3Z39-000002-V1 Used4NRF Technologies NL05S400KT-02X High Voltage RF Power Supply Used Working1Daifuku 7A005751-Q 10.5" Operator Interface Display Panel F1201 Used Working4AMAT Applied Materials 9090-00859ITL 24VDC Power Supply Used Working1AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used1Dage Backplane Systems V316-405 10-Slot Backplane Board PCB 071324A AMAT Used1iBT Technologies IB820H Industrial SBC Single Board Computer PCB Socket 478 Used1Portwell PBP-14A7-A Industrial Backplane PCB AMAT Applied Materials Used Working1bbb Tokyo Electron 1B80-002411-11 Temperature Controller Komatsu AIC-7 Used3CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used3CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used10CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used2AMAT Applied Materials 0040-48973 SD Stepper Interface PCB Panel 0130-00537 Used5AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working1Komatsu Electronics 20016470 AIC-7 Temperature Controller AIC-7-12-UC-D As-Is1NDS Surgical Imaging 20X0212-D Touch Screen Monitor CM-X15/AMRMS Used Working3Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working2MKS Instruments UHV-25-AKK-ENVN Ultra High Vacuum Angle Valve Used Working1Swagelok SS-4BMG-VCR Metering Bellows Sealed Valve NUPRO Used Working1SBS Technologies cPCI-100-BP IP Carrier Card PCB 91415171 AMAT 0660-01876 Used4Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. A Used Working2Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. B Used Working2SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro CompactPCI Interface PCB Card Used2SST Woodhead 0190-01155 DeviceNetPro PCB Card AMAT DNP-CPCI-1 490-1437 AMAT Used2DIP 15049105 DeviceNet Card CDN491 PCB AMAT Applied Materials 0660-01879 Used8Hirata HPC-785B LED Load Port Status Display Indicator Board PCB Used Working1Sanyo Denki QS1J03AA0WNA3P01 AC Servo Motor Driver Sanmotion Q Used Working1Sanyo Denki P50B04005JCP00 AC Servo Motor Sanmotion P Used Working1Moxa EDS-316 16-port Unmanaged EtherDevice Switch Used Working1Emerson SDN 10-24-100P Rack Mount 24VDC Power Supply Sola Used Working1Emerson SDN 9-12-100P Rack Mount 12VDC Power Supply Sola Used Working1Evans Components NB-GGL-8C-PT1 Manual Regulator Manifold 3100B150PG088 Used1Evans Components PW-08-STRPS-PTT2-12 Manual Shutoff Manifold 3103G150PG028 Used1Evans Components PW-12-08T2-08GVPS-PT Manual Shutoff Manifold 3103G150PG028 Used1Blancett B110-500-1/2 Turbine Flow Meter Transducer Sensor K-Factor 10843.3 Used1Edwards D37374400 iGateway Pump LON to Ethernet Synergis Module Used Working1Edwards D37902020 6 Pump Tool Interface Box Module Used Working1Edwards D37902010 Frame Interface Box 24V DC 8.4W Module Used Working1Edwards D37902000 Frame Controller 24V DC 1A Module Used Working1AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working3AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used1AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used1AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used1Perkin-Elmer A5610 4KW Control Board PCB 859-8552-005 B Used Working15Hitachi 560-5519 EMO CNT Emergency Off Interface Board PCB Used Working1Hitachi 560-5518 PS RESET Power Supply Board PCB Used Working1Hitachi 569-5524 PS CONT Power Supply Interconnect Board PCB Used Working1HC Power 10A0015-077 MOSFET Switcher Power Supply HC40-C1097 6800146070 Used14Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used1Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used1Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used1Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used2Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8' Used Working1Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used1Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used1Synetics Solutions MA-0190-13786-01 Minienvironment Pressure Gauge AMAT Used1DNS Dainippon Screen 2VC45348 Power Supply Module Used Working1Semiconductor Equipment 4460 Hot Shot Sweep Station Missing Parts Untested As-Is1AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Used Working1Hitachi DC Power Supply Unit 1 M-712E Etcher Damaged Case Untested As-Is1MKS Instruments LM505 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used1Aera FCPI980CBAXDIDJAA Pressure Insensitive MFC MGMR AMAT 0190-34214 PI-98 Used1VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Used Working1Lam Research 15-307276-00 Quartz bbbbbb Sola MSR-FSR 633015174 Cu Refurbished3Hitachi 3-843646-01 Ceramic Insulation Ring 233229463 New Surplus1V-Tex 3-850133-^8 Pneumatic Slit Valve Rollcam Copper Cu Exposed Used Working1AceCo S33-2240 300mm Susceptor Pedestal Heater Assembly Copper Cu Used Working1Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working2Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working1Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working2Comet 20033653 RF Match Lam Research 27-382473-00 Used Working1VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Copper Cu Used1Panasonic MSD3AZA1Y04 X Driver Unit bbb ACT12 Used Working6Intermec PM4C10000300220 Thermal Printer EasyCoder PM4i Lot of 2 Untested As-Is1VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Used Working2Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working1Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working1V-Tex 3D80-000006-VI Pneumatic Slit Valve Rollcam bbb Tokyo Electron Used1CX-5000S Comdel CX-5000S/13 RF Power Supply 5000W 13.56MHz Used Tested Working2Mitsubishi FX1N-40MR-ES/UL PLC Control Assembly MELSEC FX2N-16EYR FX0N-3A Used1Mitsubishi F930G0T-BWD-E Graphic Operation Terminal MELSEC Used Working1Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used1Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used1VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working4VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working2VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working1Ulvac PST-05A Standard Diode Ion Pump UlvIon Used Working1Daifuku CEL-M10A Industrial Computer PC Drive MEDA0055601A Untested As-Is1Millipore INGEN1PUO Photoresist Dispense System InbliGen Used Working3Asyst 03365-008 200mm Wafer Indexer Lift Assembly Nikon OPTISTATION 3 Used1MDX 5kW AE Advanced Energy 2194-022-J Magnetron Drive 3152194-022 Tested As-Is1Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T Used1Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T As-Is1Yaskawa USAHEM-02-TE62 Spin Motor Assembly bbb Tokyo Electron ACT12 Used Working1Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor bbb 2985-519524-W1 ACT12 200mm Usedssembly bbb 2903-300063-13 ACT12-300 Used1bbb Tokyo Electron 2910-205212-11 12" Wafer Chuck ACT12-300 Used Working1DIP Incorporated EH0107(C) Power Supply Board PCB DB-D38-101D Used Working4TMP Shimadzu FTI-2301D (T1)-D3R Turbomolecular Controller Used Tested Working3Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Incomplete As-Is1Leica E84-BOARD Interface PCB Module KLA-Tencor 11301397220000 Used Working3Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera bbbb Grabber PCB Card Used2Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera bbbb Grabber PCB Card Used2Comtrol 9370-003193 Surge Interface RocketPort KLA-Tencor 113014000130000 Used1Hommel Werke UNILINE-2048 Scan Camera Jenoptik KLA-Tencor 11301396014000 Used1IDE 3000561 003 FSS Digital I/O Com Module KLA-Tencor 110206160810001 Used1Setra 2671MR6LD2ED9GN Pressure Sensor Lot of 2 KLA-Tencor 11301397562000 Used1KLA-Tencor 11301400065000 Main/Chuck Vacuum Control Module Used Working2KLA-Tencor 11301400050000 Camera Flash Unit 11 301 400 050 000 Used Working2Adder ADDERView GEM 4-Port KVM KLA-Tencor 11301400290000 Used Working1KLA-Tencor 11301400036000 Camera Power Supply Unit 11 301 400 036 000 Used2Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400181000 Used1Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400191000 Used1KLA-Tencor 11020616020105 AL Lens Lighting Retrofit Kit Used Working2Schott A20800.2 Fiber Optic Illuminator KLA-Tencor 11301396041000 Untested As-Is1IDE 7401030 001 FSS Side/Rear Access Version KLA-Tencor 11020616081000 Used2KLA-Tencor 11020616027030 EBR Lens with Slit Cover Assembly Used Working2Schott A20800.2/20 Illuminator DCR III A05853 KLA-Tencor 11301396041000 Used1Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400195000 Used3Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400190000 Used2Schott A08903 Fiber Optic Illuminator Lightline Cylindrical Lens Used Working3Schott A08020.60 Fiber Optic Single Flexible Lightline 60" Used Working1Schott A08031.40 Fiber Optic Single Flexible Lightline 40" Used Working1ITK Pegasus N-Axis Hi-Res Positioning Controller KLA-Tencor 11301400543000 Used2Schott A08031.40R Fiber Optic Single Flexible Lightline 40" Used Working1Aera FCPIR981C4VX9THA Pressure Insensitive MFC MGMR Lam 797-106426-011 Used1KLA-Tencor 11301400430000 NG Power Supply Unit 11 301 400 430 000 Used1KLA-Tencor 11301400403000 System Controller Macro Computer PC Used Working2Brooks Automation 6-002-0705-SP Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used1Brooks Automation 129973 Series 8 Robot Controller Used Working2bbb Tokyo Electron 2987-455715-W1 CRA X Cassette Block Robotics Arm 200mm Used1Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working1SMC US13394 Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0010-25625 Refurbished1Asyst 9700-6584-01 Advan Tag RFID Reader Set PB 90M 9700-6224-02 Sensor Used10Asyst Technologies CAN Device Advan Tag RFID Reader Used Working2Vaisala DMT347 Dewpoint & Temperature Transmitter Nikon 4S066-914 Used Working1Ion Systems 280 CPM Charged Plate Monitor MKS Instruments Used Working1ION Systems TT1ER4-1-ION2 Handheld Controller Pendant 111360 Brooks Used1bbb Tokyo Electron 2L81-050032-V2 Processor Board PCB TAB113-1/I01-LF Used2VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Damaged Untested As-Is1Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 400 SCCM SF6 Refurbished1MKS Instruments 103250028 Pirani Vacuum 325 MODUCELL Hitachi 2-815886-01 New1Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus1Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus2Panasonic MSMA3AZA1N Compact AC Servo Motor New Surplus1ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. A QLC-5100 Used Working1Greene Tweed 5641A2276SS629 Slit Valve Door Plate Used Working1Yaskawa Electric JANCD-NBB30-E Backplane PCB Board F352773-1 NXC100 Used Working1Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100 Used Working1Yaskawa Electric SGDR-SDCCA01 Robot Controller PCB Card F352768-1 NXC100 Used1Yaskawa Electric JANCD-NIO30-1-E Robot Controller PCB Card F352760-1 NXC100 Used1Yaskawa Electric JANCD-NIF30-1-E Robot Controller PCB Card F352759-1 NXC100 Used1Yaskawa Electric JANCD-NTU30-E Robot Controller PCB Card F352761-1 NXC100 Used1Yaskawa Electric SGDR-COBCA01AB-E Robot Controller PCB F352766-1 NXC100 Used1Yaskawa Electric SGDR-COBCB030GAB-E Power Supply PCB Card F352801-1 NXC100 Used1Yaskawa Electric JANCD-NSP30-E Battery Backup PCB Board F352769-1 NXC100 Used1Fuji Electric CSP-320FB-E Power Supply Yaskawa NXC100 Used Working1Asyst Technologies Load Port Door Assembly PCB 3200-1251-01 Used Working2Kyoto Denkiki KDS-30350SFX High Voltage Power Supply KDS-30350SF Used Working1Kyoto Denkiki KDS-20170TW High Voltage Dual Output Power Supply Used Working1KoMiCo Technology 633000021 CU LH HHP SLAM Cover 633007480 Copper Refurbished3VAT 65048-JH52-ALJ1 Throttling Pend d 20:1 New Surplus2Novellus Systems 2-288189-00 300mm Lift Pin Actuator Assembly Used Working2Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Used1Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU w/End Effector 0190-08246 Used1Brooks 6-0002-0706-SP Robot WTM-511-2-FWS02-V1-CU End Effector 0190-08246 Used1Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 w/End Effector 0190-08245 Used1VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used1bbb Tokyo Electron Wafer Indexer Robot 300mm Immersion System Incomplete As-Is1SMC HRZ010-WS-Z Thermo Chiller Series HRZ Cosmetic Damage Used Tested Working1AMAT Applied Materials 0021-78095 Reflexion Z2 SMC Regulator Assembly Cu Used2AMAT Applied Materials 0021-78095 Reflexion Z3/(MM) Regulator Assembly Cu Used3AMAT Applied Materials 0021-78097 Reflexion RR SMC Regulator Assembly Cu Used4AMAT Applied Materials 0021-78095 Reflexion Z1/(IT) Regulator Assembly Cu Used3AMAT Applied Materials 0021-78095 Manifold with SMC ITV2001-31N3N4-X95 Cu Used3AMAT Applied Materials 0021-78097 Manifold with SMC ITV2031-31N3N4-X97 Cu Used1AMAT Applied Materials 0021-78095 Manifold 2 Serias Valve UPA Assembly Cu Used8AMAT Applied Materials 0021-78097 Manifold 1 Valve UPA Assembly Copper Used2Air Products 2262071 Stainless Steel Flex Hose Pig Tail Spool 108" Refurbished1Sensor Technics SQ01566 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used2Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used1Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working1Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working1VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board 88 791 PCB Used3VAT TECON 090-001.3 650 Series Gate Valve Heater Controller and Elements Used1AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-312N4-DIQ00076 Cu Refurbished1AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-31N3N4-X95 Copper Refurbished1Hitachi 3-855544-02 Stainless Steel V1 UHF Plate New Surplus1Entegris 2359-003 30 Foot Cable 12 Conductor Cable 12D30 New Surplus1AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working1Edwards NRY1XA520 High Vacuum Tube Tee ISO80 ISO-K iQDP Used Working1Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working2Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working1Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working1Edwards E21909516 iQDP Power Cable 7 Foot iQDP40 iQDP80 Used Working1Edwards E21909516 iQDP Power Cable 3 Foot iQDP40 iQDP80 Used Working3National Instruments 18971A-01 GPIB Controller Ethernet PCI-8232 PCB Card Used1Credence 97152002-02 Server Interface PCB Card 40152002 Used Working1V-Tex Twinrollcam Slitvalve with Gate Door 13.875"x2.125" Used Working1SMC HRZ010-WS-Z Thermo Chiller Series HRZ Tested Not Working No Power As-Is1SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Hitachi 3-854491-*A Refurbished1iL600N NL Edwards A53356945XS Dry Vacuum Pump 1 Hour Run Time Refurbished1iL70N Edwards A53355945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished1iL70N Edwards A533-55-945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished1iL70N Edwards NRB446945 Dry Vacuum Pump 0 Hour Copper Cu Exposed Tested As-Is1Edwards C31305000 Manual Isolation Vacuum Valve PV25MKS Copper Cu Exposed Used1DIP Incorporated EH0107B Power Supply Board PCB DB-D38-101B DFS607TD1A Used4Digital Electronics UF7811-2-DV1-24V LCD Touch Screen Display Copper Cu Used23Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working1Celerity FC-2979MEP5X-WM Mass Flow Controller 200 SCCM C4F6 TN2979 Refurbished1Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working1MKS Instruments 252E-14578 Exhaust Valve Controller Type 252 Used Working1Ultrapointe 001002T A-Stop Control Lon Motor Driver PCB 00045 V1.0 CRS-1010 Used2Ultrapointe 000675T Lon Motor Driver Board PCB Rev. 5 KLA-Tencor CRS-1010S Used1Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series Used Working1Nikon 4S019-059 Control Interface Board PCB IU-CTRL2 NSR Series Used Working1Nikon 4S008-261 Power Supply Board PCB IU-PWR2-X4P4 H=40mm NSR Series Used1Ultratech Stepper 03-08-00096 Stage Driver Board PCB Used Working1Ultratech Stepper 03-08-00090 944 Servo Board Card PCB Lithius Used Working1Sony XC-55BB Progressive Camera Module 02D Nikon KBB25350 NSR System Used3Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working1Jikco COX-B8A Alarm Module PSK-144B Nikon 4S587-740-1 NSR System Used Working1Agilent Technologies E1709A Remote High Perbance Receiver Used Working1Brooks Automation 148301 Main IV Board PCB 173277 Used Working3Brooks Automation 148285 LCD Display IV Board PCB Vision LPM Load Port Spare3Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working1Tadin TadiGuard Type 006 Computer MRC Eclipse Star1Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used4Agilent 5517DN07 Interferometer Laser 214uW Nikon 4B991-156-1AN NSR-S204B Used1Riken Keiki OS-B11N Oxygen Sensor Nikon NSR-S204B System Used Working1Sony XC-73 CCD Video Camera Module Nikon NSR-S204B Step-and-Repeat Used Working1KLA-Tencor 2365-UI Marathon G580 1/3HP Motor Fan1Marathon Electric DVB-56T17T5305E P G580 1/3HP Motor Fan1Cosel P150E-24-N Power Supply P150E-24 Used Working3Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working2KLA-Tencor 2365 EMO Control Module 710-734976-0011Shinko 3CL511A010000 Power Supply Board PCB NBC-C Asyst VHT5-1-1 Used Working1Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 Bad Screen1Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-1 Used Working1Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-2 Used Working1MRC Materials Research 885-24-000 Interface Board PCB Rev. B Eclipse Star Used1bbb Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working1bbb Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working3bbb Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working8bbb Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working4bbb Tokyo Electron 5085-411385-11 Wafer Spin Chuck Lithius DEV Module Used9Sanyo Denki P20B13100FXSA2 Servo Motor bbb 5086-403316-12 Lithius DEV ASSY Used10Sanyo Denki P20B13100FXSA2 Servo Motor bbb 5086-403316-12 Lithius DEV ASSY Used1Panasonic MFA090HA5NSC Servo Motor Assembly bbb 5086-403306-12 Lithius COT Used1bbb Tokyo Electron 5087-400487-15 Solution Valve Cable CKD AMF-V-X1 Lithius Used9bbb Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve AMF-V-X1 Incomplete Used4PRMS Incorporated 1005001 Macroillumination Lamp & Power Supply Set 1005002 Used1bbb Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Used Working1Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis Nikon NSR Used1QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished8AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used2Lam Research 719-003481-872-C Ceramic Plate Used Working1Lam Research 02-287782-00 PED Assembly Machined Finish Cu Exposed Used Working1VAT 02112-AA44-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working1AMAT Applied Materials 0041-32713 Shower Head Used Working1AMAT Applied Materials 0041-32713 Shower Head Used Working2AMAT Applied Materials 0041-32713 Shower Head Used Working3Lam Research 02-287782-00 PED Assembly  MFC SEC-4500 10SLM H2 Used Working1STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 10 LM O2 Used Working1STEC SEC-4500MC-SUC Mass Flow Controller MFC SEC-4500 20 LM O2 Used Working1Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used1Aerotech MXH100-D-16M/ES13497 Y Axis Multiplier MXH100-D Tencor 561975 Used1Horiba STEC SEC-Z512X Digital Mass Flow Device MFC Z500 1 SLM Ar Used Working2Kokusai Electric D4E01298 Interface Board PCB Module SPCONV2 A/O Used Working1Yaskawa Electric SGMAH-A3BBA2S AC Servo Motor Gear Assembly Used Working2STEC SEC-4550M Mass Flow Controller MFC SEC-4550 20 LM N2O Used Working3Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V bbb 3D80-001479-V1 Used Tested2Daihen AGA-50B2-V RF Generator DGP-120A2-V bbb 3D80-001479-V1 No Wheels Working1Daihen WGA-50E-V RF Generator Stack bbb 3D80-001480-V2 Used Tested Working2Daihen AGA-50B2-V RF Generator Stack DGP-120A2-V bbb 3D80-001479-V2 Used Tested1Daihen RGA-50C-V RF Power Generator bbb 3D39-050099-V4 Used Tested Working1Daihen RGA-50C-V RF Power Generator bbb 3D39-050099-V4 Used Tested Working1KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working1KLA-Tencor 0038216-001 MMD Power Unit PCB 0038170-002 AIT Fusion UV Used Working1SMC ITV2030-31N2N4 Electro-Pneumatic E/P Regulator 1971080-002 Used Working2Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working2Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working3Melco Technorex EQ-142 Data I/O PCB Module Nikon 4S587-436 Used Working1Parker Veriflo 45600672PA Valve Reseller Lot of 5 SQMICROHF502PUPG6049VESP Used1Tescom 44-2260-242-010 Manual Pressure Regulator Valve Reseller Lot of 3 Used1Copal Electronics PS6-103G-NAM-014 7-Port Pressure Switch Assembly Used Working1Idec PS3E-C24 Compact Power Supply 24VDC Reseller Lot of 6 Used Working1AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC New1Edwards NGW415000 Pneumatic Gate Valve Copper Cu Exposed Used Working1Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Copper Cu Exposed Used2Edwards ISO80 High Vacuum Flexible Bellows Stainless iQDP Series Used Working1bbb Tokyo Electron 20-Port Pneumatic Manifold 41-60 CKD 4SB019-C3 ACT12 Used1FSI International 294025-400 Interface PCB 264025-200 Reseller Lot of 2 Used4Pilz 774300 Safety Relay DIN Mount PNOZ X1 Reseller Lot of 2 Used Working1bbb Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working4bbb Tokyo Electron 3D05-350204-11 300mm Pincette Robot End Effector Used Working2bbb Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working1bbb Tokyo Electron 3D05-350193-11 300mm Pincette Robot End Effector Used Working2Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Bent Bolt Used Working1CTI-Cryogenics Cold Head Compressor AC Distribution Helix Remote No Cover Used1bbb Tokyo Electron CT2981-600534-11 BOARD.CONN BLT/L CT2908-600534-11 ACT12 Used26Mitsubishi FR-E520-0.75KN Inverter FREQROL-E500 Reseller Lot of 2 Used Working1Peak Optics 97844708 Microscope Reticle Measurement 1 DIV 0.005 100X PS44 Used1Kuroda SPCBUA2-20-40-ZV Wafer Robot bbb Tokyo Electron 3D80-000008-V4 As-Is1Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used1Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. 2.2 Used2Asyst Technologies 06763-005 48V Control Board PCB 04376-001 Rev. C Used1CKD N4E0 Series 16-Port Manifold bbb Tokyo Electron Lithius Used Working2Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working2Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working3Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Asyst 04371-001 Used Working4Berkeley Process Control USA4-11-28-UR Multi-Axis Universal Servo Amplifier Used2Berkeley Process Control USA2-22-35 Multi-Axis Universal Servo Amplifier Used1Banner MUSC-1 Multi-Screen Light Curtain Control Box with 41206 Used Working2Banner MSCA-1 Multi-Screen Light Curtain Control Box with 41421 Used Working1Oriental Motor PK543BW1-H50 5-Phase Stepping Motor VEXTA Used Working1CKD AMD11-X1491 Valve Reseller Lot of 6 bbb Tokyo Electron ACT12 Used Working1Koganei F-AVP125-19W Pneumatic Valve Lot of 10 bbb Lithius Used Working6Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 8 bbb Lithius Used2CKD AMD312-10BUR-14-TC Pneumatic Valve Photoresist Lot of 10 bbb ACT12 Used1Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working12Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 10 Used Working1V-Tex 3D80-001812-V1 Door Slit Valve Rollcam bbb Tokyo Electron Used Working1Baldor BSM80A-375BA Brushless AC Servo Motor S2P117W009G1 Used Working5Gerwah AKN 60 Metal Bellows Coupling Gearhead Reseller Lot of 4 Used Working1Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used3Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used2Hitachi MP090 Wafer Alignment Unit Motor Assembly RM2424D E6B2-CWZ6C M-712E Used1HVA High Vacuum Apparatus 11211-1003R Gate Valve Used Working1Hitachi HT98311 Interface Connector Board PCB BD11 M-712E Used Working3NEC FC-S16W/SB4V6A Industrial Desktop Computer FC98-NX FC-S16W with MOR/2VD Used1Shimadzu EI-D3203M Turbomolecular Pump Controller 1.2K TMP Used Working1Novellus 61-294221-00 Rev. A Spindle Yaskawa YSC-02D04B021 Used Unlisted1Hitachi ILE-02 Relay Switching Board PCB Card M-712E Shallow Trench Etcher Used3Hitachi AIO-02N Analog I/O PCB Card M-712E Shallow Trench Etcher Used Working6Hitachi DIO-01N Digital I/O Board PCB Card M-712E Shallow Trench Etcher Used6Jobin Yvon H-10 VIS Monochromator DA-40 Tokyo Electron Unity II Cut Cable Used1Nikon 4S008-035 Lamp Control Module with Interface Cables NSR Series Used1Square D EE30T3HF Sorgel Three Phase General Purpose Transber 30kVA Used1Varian Semiconductor Equipment E11470670 Power Supply Interface Used Working1Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working1Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working2Orion Machinery ETD232-SA-A-UL Power Supply PEL THERMO Used Working2Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working1Kaijo 68101-A3T-UL Ultrasonic Generator HI MEGASONIC 600 Used Working4KoMiCo Technology 633000138 CU 3 Port 5 Hole Mist Trap Copper Exposed Used1Kuroda SPCBUA2-20-40-ZV Wafer Robot bbb 3D80-000008-V4 No End Effector Used1Kuroda SPCBUA2-20-16-ZV Wafer Robot bbb 3D80-000009-V4 No End Effector Used1Kuroda SPCBUA2-20-16-ZV Wafer Robot bbb 3D80-000009-V4 No End Effector Used1MRC Materials Research Plenum Wafer Chuck Mosier S-23503-1 Eclipse Star Used1Asyst Technologies 810-2850-A PWM Motor Driver Board PCB Hine Design Used1SMC 8-Port Pneumatic Manifold Lot of 2 bbb Tokyo Electron 3D80-001913-11 Used2NSK ELC-JG014VF3-01 300mm XP Robot Controller ELC AMAT 0190-19536 Used Working3SensArray 05-3613_SA Field Replaceable Battery Pair 39-3613 KLA-Tencor Used2Pacific Scientific High Yield Technology 20B Particle Sensor 10-10350-00 X1 Used2MKS Instruments 51A11TGA2BA003 Baratron Pressure Switch Type 51A Used Working3MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working3MKS Instruments 41A13DGA2AA040 Baratron Pressure Switch Type 41A Used Working4MKS Instruments 722A12TCD2FA Absolute Pressure Transducer Type 722A Used Working1CKD FGL11-X0029 High Vacuum Break Leak Valve Used Working4Regal Joint FS-10S Flow Sensor FS-10 Reseller Lot of 2 Used Working1Heidenhain RON 806 36000 54S09-2S Incremental Angle Encoder 355 885-17 Used1Swagelok SS-4BMRG Bellows Sealed Metering Valve NUPRO Used Working1Swagelok SS-4BMG Metering Bellows Sealed Valve NUPRO Used Working2Swagelok SS-4BMRG-V16 Metering Bellows Sealed Valve NUPRO Used Working1Jennings RGH5-26S High Voltage SPDT Gas Relay AMAT 9150-02974 Used Working4bbb Tokyo Electron Prealigner Loader Module T-3044SS Used Working1Rudolph Technologies A19796ART0308 UV Optics Assembly A19796 A15032 Used Working1Fujikin Incorporated WVG-SD-O1Z1C2A Water Vapor Generator Used Working1V-Tex 3D80-001812-V1 Door Slit Valve Rollcam bbb Tokyo Electron No Gate Used1Varian 1243-L6281-301 Pneumatic Angle Valve NW16 A/O Used Working1Varian 1243-L6281-302 Pneumatic Angle Valve NW25 A/O Used Working2MKS Instruments 51A11TCA2BA005 Baratron Pressure Switch Type 51A Used Working1MKS Instruments 722A12TCE2FA Baratron Pressure Type 722A Transducer Used Working1RECIF Technologies IDLW8/H9090 PCB Board with Symbol STI80-0200 Cable Used1Kromschroder 84367720 Automatic Burner Control IFS 110IMT-10/2/2N Used Working2PULS SL2.100 DIN Rail 24.5 VDC Power Supply SL 2.5 Reseller Lot of 2 Used1Kawasaki C60C-A001 Robot Controller Nikon 4S082-666-2 4S211-553-2 NSR Used1Hitachi ZVL808-H Driver Interface Board PCB Card ZVL808 Used Working5Hitachi ZVL808-J Driver Interface Board PCB Card ZVL808 Used Working5Hitachi ZVL897-4 Processor Control Board PCB Card OFV-DTCT ZVL897 Used Working2KEL VMEbus BACKPANEL J1 09 Backplane PCB Board Used Working2Hermos TLG-I1-1000-S0-00EB Transponder Reader with Sensor ANT-ID2-INFIXR6 Used1Hermos THG-S1-1000-S0-00EB Transponder Reader with Sensor ANT-AXC-IR6 Used1Brooks Automation ANT-ID2-INFIXR6 Fixload Antenna Hermos Reseller Lot of 4 Used1AMAT Applied Materials DTCU Dome Temperature Control Unit Fan Module Assembly1Panasonic MSDA021A1A AC Servo Driver MINAS A-series  Used Working2Cosel P30E-12 Compact Power Supply 12V 2.5A Reseller Lot of 4 Used Working1Cosel P150E-5 Compact Power Supply 5V 30A Reseller Lot of 2 Used Working1CAL Controls 3200 DIN Economy Temperature Controller Reseller Lot of 3 Used2Kromschroder 84391075 Ignition Transber TGI 5-15/100R TZI 5-15/100R Used2Kromschroder 84391030 Ignition Transber TGI 7,5-20/33R TZI 7,5-20/33R Used1Kromschroder 84391030 Ignition Transber TGI 7,5-20/33R TZI 7,5-20/33R Used1Kromschroder 84391030 Ignition Transber TGI 7,5-20/33R TZI 7,5-20/33R Used1Automation Direct D2-09B-1 9-Port PLC Controller Direct Logic 205 Koyo Used1Fuji PS-1105U Momentary Line Drop Protector PS-1105 Hitachi M-712E Used Working1Hitachi Operator Interface Panel Keyboard and Monitor Set M-712E Used Working2Bird 4391 Dual Element RF Power Analyst 2-30MHz 500H and 1000H Used Working1Brooks Automation 119215 Series 8 Robot Controller Used Working1Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used1Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used1Pioneer Magnetics 123621 Power Supply PM1203A 84-012-001 Used Working1MKS Instruments 127AA-000.1B--S Baratron Pressure Transducer Type 127 Used1MECS UTV430 Wafer Handling Robot Used Working1Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working1Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working1Kawasaki 50976-2143L01 Robot Cable 7.5 Foot Used Working1Tegal CR1324-00400 RF Match Network Source Strip 6500 HRe Used Working1Tegal CC1327-00300 EZ Reactor Assembly HRE-PM1 6500 HRe Used Working1Tegal 37-441-004 Cooling Flange 6500 HRe Dual Frequency Etch System Used Working1VAT 14046-PE44-1016 HV High Vacuum Gate Valve Tegal 6500 HRe Used Working1Edwards 1850 Mechanical Vacuum Throttle Valve ISO200 Tegal 6500 Used Working1MKS Instruments Straight Nipple Adapter LF200 ISO-K NW250 Large Flange HPS Used2Edwards W185-08-001 Mechanical Vacuum Throttle Valve 1850 ISO200 Tegal 6500 Used1Hamamatsu C11121CA-50 UV/VIS Spectrometer TM-UV/VIS Type III Hitachi M-712E Used2AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working1AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Used Working1Ocean Optics USB4000 Spectrometer Slit 25µm Hitachi M-712E EC1 Used Working1Jobin Yvon H-1061 UV-V Monochromator E Chamber EPD Hitachi M-712E Used Working2Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-712E Etcher Used1Hitachi PTPA-01 ECI Photo Board PCB Assembly M-712E Shallow Trench Etcher Used2Hitachi CR-712T-AC Wafer Transfer Clean Robot Shunan Works M-712E Etcher As-Is1AMAT Applied Materials 0040-86239 Housing 300mm Titan New Surplus1AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working1Hitachi UHF-121 EC2 RF Power Supply Cooling Water Control Panel M-712E Used1Kyoto Denkiki KDS-30350WFX Dual Output DC Power Supply Hitachi 3-A20515-*A Used10Kyoto Denkiki KDS-30350SFX DC Power Supply Hitachi 3-A20515-*B MU-712E New1PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used2Electronic Solutions V809J1EC V809 VME J1 Backplane Board PCB 01-0009293 Used1Tegal 98-363-00 VME Backplane Board PCB CTC-ML Used Working1IPD International Power Devices RWD2415 DC/DC Converter Used Working1Tegal 98-354-002 CPU Transition Board VME PCB Card Used Working1Tegal 99-360-001 Processor Board VME PCB Card AOB-1 98-360-001 Used Working1Qualidyne 234AA0A-0172 Power Supply 23092EFG Tegal VME-PS400-01 Used Working1Motorola 5057301 LE Tester Board PCB Used Working1Pittman GM8223D098 38.2V Servo Motor LO-COG Reseller Lot of 2 Used Working1Brooks Automation 013077-054-20 300mm Load Port FIXLOAD 25 Used Working2Lam Research E4A N2 Purge Unit Horiba SEC-N142MGR for TDK TAS300 Type E4/E4A New2TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working3TeleFrank 013501-167-27 Modul ST32 Brooks FIXLOAD V6 Used Working5SMC ZSE30-01-25-M kPa Pressure Switch Reseller Lot of 32 Used Working1Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Endura Used1Newport 35-3700-1425-18 Wafer Transfer Robot AMAT Applied Materials 300mm Endura1Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT Applied Materials Endura1Kensington 8-4029-03 Robot Waist Interface Cable 3 Foot 1M Newport Used Working1Kensington 8-4030-03 Robot Arm Interface Cable 3 Foot 1M Newport Used Working1Kensington 8-1013-07 Robot Z-Axis Interface Cable 3 Foot 1M Newport Used Working1Kensington 8-1013-00 Robot Z-Axis Interface Cable 8 Foot 2.4M Newport Used1Kensington 8-4030-00 Robot Arm Interface Cable 8 Foot 2.4M Newport Used Working1Kensington 8-4029-00 Robot Waist Interface Cable 8 Foot 2.4M Newport Used1Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-79582-28-00 Used1Asyst Technologies 06763-005 48V Control Board PCB GaSonics ABX-88919-41-11 Used1Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used2Brooks Automation E20000580 Transponder Reader with Sensor E20000581 Used1Asyst 9700-9129-01 Wafer Load Port IsoPort Nikon KAB11310/201-3 Incomplete As-Is1Panasonic MADDT1207N52 AC Servo Motor Driver Used Working8Panasonic MCDDT3520N52 AC Servo Motor Driver Used Working4Panasonic MQMA022S2G AC Servo Motor with Sumitomo Gear Head FCMS-A15-SV-59 Used2Panasonic MQMA022S2C AC Servo Motor HD Gear Head CP-25A-33-J299A-SP Used Working4Panasonic MSMD082S1S AC Servo Motor Nidec-Shimpo Gear Reducer VRSF-4C-750 Used4Sunx S-bbbb V Sensor Set Lot of 4 SL-VT8E SL-VTP8E Panasonic SL-VEU Used Working1Globe Motors 403A918 LP Shuttle Motor Brooks 129399 Reseller Lot of 2 Used1Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is1Brooks Automation 162770-01 300mm Wafer Load Port VISION Untested As-Is2Edwards NRC970000 TPU Used Working Unlisted1Edwards Y50100000000 iATLAS New Unlisted2bbb Tokyo Electron AHV50 Cooling Plate 3D10-150035-V1 working1SBS Technologies 9000-32-029 SBC PCB Card AMAT Applied Materials Endura Used1AceCo Y203 Upper Electrode S33-1574Y new3AceCo Depo Shield Y203 S33-1862Y new1bbb Tokyo Electron ES3D10-202163-V1 300mm Depo Shield Refurbished2TDK TAS-IN8 Interface Board PCB TAS300 Used Working1TDK TAS-CNEXT Interface Board PCB TAS300 F1 Used Working1bbb Upper Body Electrode 3D10-100293-V1 new2bbb Depo Shutter Assy. Y-AL 3D10-101277-V2 new1bbb Depo Shutter Assy. Y-AL 3D10-101277-V2 new1Ebara ET600WS Turbo-Molecular Pump Used Tested Working3Hitachi Microwave Calibration Jig 2-A06112-^A new2Brooks Automation 134333 Sensor and LED IV Board PCB Rev. B Used Working3Hitachi Microwave Calibration Jig 2-A06112-^B new4TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working1Brooks Automation 173227 Interface Board PCB 140360 Vision LPM Load Port Spare2Condor 02-32117-0001 Power Supply GPC80P Rev. D 017-21226 Used Working2Cherokee International QT4A1 Power Supply Used Working3Lenze E94S040S1N Servo Drive 94 SimpleServo 1.20 Used Working1Opto 22 PB32P2 Relay Board PCB IDC5Q 8 MRC Materials Research Eclipse Star Used4bbb Tokyo Electron G260610 Lithius Cot Cabinet Used Working Unlisted1ECOSYS 203-10428-02 Guardian GS4 Used Working Unlisted1bbb Tokyo Electron 1000071322 Dual Block Used Working Unlisted1Nikon Temperature Control TC Rack N6F-A NSR-S307E DUV Scanning System Used1Nikon N6F-A Temperature Control TC Rack NSR-S307E DUV Scanning System (2) Used1Nikon S37 TC Rack Asahi Cogyosha Co. N6A-A DUV Scanning System Used Working1Kawasaki 30C61E-B003 Robot Controller AMAT 0190-17941 As-Is Unlisted1Brooks Automation 017-0483-01CUR Wafer Handling Robot Used Unlisted1Brooks Automation 003-1600-43  Magnatran 7 Wafer Handling Robot Used Working1Thermo Neslab 622023991801 Heat Exchanger DIMAX Used Unlisted1Chromasens LC16-WBI-BF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used2Chromasens CC-WBI-SV1 Machine Vision Module CC00596 KLA-Tencor WBI 300 Used4KLA-Tencor 11 301 404 123 000 WBI Controller Sensors Actuators CSA Unit Used4Chromasens CC00620 Machine Vision Set of 4 LC16-WBI-BF LC16-WBI-DF KLA WBI Used3TDK-Lambda V6098VF Power Supply Vega 650 Four Module H5_4 B5 B5 C5 Used Working4Oriental Motor ASM66AK Stepper Motor VEXTA Used Working8Colandis 12626 FFU Fan Filter Unit KLA-Tencor 11301406028000 WEI/WBI Used4Terra 1300062 Desktop Computer KLA-Tencor 11301400403000 Controller WBI 300 Used2bbb Tokyo Electron PTI Controller 1 Automated Exhaust System Set ACT12 Used1bbb Tokyo Electron PTI Controller 2 Automated Exhaust System Set ACT12 Used1Leutron Vision PicPortX CL Stereo PicPort Camera bbbb Grabber PCB Card Used2Simco 4010729 Ionizing Bar Controller VISION i Used Working1Copley Controls ACJ-090-09 Micro Panel Servo Drive Accelnet Used Working4Schmersal AZM 170-11zrk Safety Switch Reseller Lot of 2 Used Working1Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used1Asyst Technologies 9700-9129-01 300mm Wafer Load Port Copper Cu Exposed Used1Asyst Technologies 9700-9129-01 300mm Wafer Load Port Used Working1Asyst Technologies 9701-1059-02A Load Port PCB IsoPort Used Working1Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used1Richmond Technology 4007440 IBC Inbligent Balance Controller IBC20-1 Used1Delta Design 1944602-501 PSM Power Summing Module Used Working1National Instruments 745749-01 Controller PXI-1002 Delta 1923725001 Used Working1Delta Design 1973060901 Summit Handler ATC Control Computer Copley CME 2 Used1Chromasens 940 992 Camera Board PCB Assembly SC-KA5-1/Z KLA-Tencor WBI 300 Used4Oriental Motor ASD36A-K Closed Loop Driver ASD-K AlphaStep Used Working8Chromasens CC-WBI-SA2 Interface PCB CC00228 KLA-Tencor WBI 300 Used Working4Chromasens CC-WBI-LV1 Interface PCB CC00581 KLA-Tencor WBI 300 Used Working3Hitachi 300mm Wafer Handling Ceramic End Effector 1-5 Set of 5 M-712E Used3Hitachi Ceramic End Effectors 1-5 300mm Wafer Set of 5 M-712E Used Working1Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As-Is1Control Concepts 1014R-05 SCR Power Controller with Cable Set Used Working1Baldor 92B645730000 Motor with Camco Indexer 80RDM4H20-330 Gear D99XX5164 Used1Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Summit ATC Used1NSK EMLZ10CF1-03 Servo Drive Motion Controller Used Working1Kollmorgen D101B-93-1210-014 PM Servomotor DDR AMAT Reflexion Spindle Motor Used1Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Dent to Rim Used1Kollmorgen D101A-93-1215-001 PM Servomotor DDR AMAT Reflexion Discoloration Used2Tegal CE1323-10101 AC Distribution Unit 6500 HRe Dual Frequency Plasma Etch Used1Novellus Systems 16-270993-00 300mm Wafer Chuck Sabre PEM Copper Cu Exposed Used1CTI-Cryogenics Cryopump Helium Line 5' Set Supply 8081617 Return 8081-271 Used1AMAT Applied Materials 0620-00516 RF Cable Top Generator to Match 150 Foot Used1MDC Vacuum Products 310073 Pneumatic Angle Valve KAV-100 Used Working1MKS Instruments 627A-14583 Baratron Pressure Transducer Type 627 Used Working1Sanken Electric MLT-DCBOX5 Power Supply Assembly MMB50U-6 bbb Unity II Used1Yaskawa VS2B Robot & Controller Set DDMQF-SR2232IR w/Effector bbb Unity II Used1Yaskawa V0SH Prealigner Controller Set DDMQF-SR2231I PAC/ELC2 bbb Unity II Used1Oriental Motor 4054-LD4 5-Phase Servo Driver VEXTA PUSH PIN bbb Unity II Used2Tylan FC-2979MEP5 MFC Mass Flow Controller 100 SCCM CF4 bbb Unity II Used2Tylan General FC-2979MEP5 MFC Mass Flow Controller 1 SLPM Ar bbb Unity II Used2Tylan General FC-2979MEP5 MFC Mass Flow Controller 20 SCCM O2 bbb Unity II Used2Tylan FC-2979MEP5 MFC Mass Flow Controller 200 SCCM SF6 bbb Unity II Used2Tylan FC-2979MEP5 MFC Mass Flow Controller 50 SCCM CH2F2 bbb Unity II Used2Tylan FC-2979MEP5 MFC Mass Flow Controller 30 SCCM C4F8 bbb Unity II Used2Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK bbb Unity II Used Working2Yaskawa Electric YMW-2034 Servo Drive 4178-13 SERVOPACK bbb Unity II Used Working2Granville-Phillips 330001 Ionization Gauge Controller with Cable 9331 bbb Used2Sunx SF1-SC Fail-Safe Control Unit bbb Tokyo Electron Unity II Used Working2bbb Tokyo Electron ID86-004327-13 Floppy Drive Assembly TEB404-12/FDX Unity II1Oriental Motor B1583-D51KBLM Servo Motor VEXTA with 5GD20K-H1 bbb Unity II Used2MWD-25LD ENI MWD-25LD-02 RF Match and Controller Set RFC-6-01 bbb Unity II Used1VAT 26332-KA71-1001 Angle Isolation Valve with Sensor bbb 1D86-003796-12 Used1VAT 26332-KA71-1001 Pneumatic Angle Valve bbb Tokyo Electron Unity II Used1VAT 26332-KA11-1001 Pneumatic Angle Valve bbb Tokyo Electron Unity II Used2Irie Koken 1SV25M0 Manual Angle Valve NW25 bbb Tokyo Electron Unity II Used2Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch bbb ID86-003510-15 Used2MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 bbb Unity II Used3MKS Instruments 622A12TBE Baratron Transducer 100 Pa/133.32 bbb Unity II Used1MKS Instruments 627A-13614----S Baratron Pressure Transducer bbb Unity II Used1STEC SV-P1102 Pneumatic Flow Controller SV-P1000 bbb Unity II Used Working1STEC SV-P1203 Pneumatic Flow Controller SV-P1000 bbb Unity II Used Working4bbb Tokyo Electron 1D81-000118 Control Panel PCB with Cable Set Unity II Used1bbb Tokyo Electron System Maintenance Operator Panel Touch Screen Unity II Used1VAT 26332-KA71-1001 Angle Isolation Valve with Sensor bbb 1D86-003558-16 Used1Nagano Keiki CE10 Electronic Pressure Switch bbb ID86-004116-13 Unity II Used1Nagano Keiki CE10 Electronic Pressure Switch bbb ID86-004117-13 Unity II Used1Ojiden OFL-1V-S3 Switch Set of 2 ID86-014729-11 ID86-014730-11 bbb Unity II Used1bbb Tokyo Electron CSENS2 Laser Sensor Assembly Omron E3X-A11 Unity II Used1bbb Tokyo Electron CSENS1 Laser Sensor Assembly Omron E3X-A11 Unity II Used1bbb Tokyo Electron CSENS1 Laser Sensor LDH-03-3050 MLXS-D12-670-3 Unity II Used1bbb Tokyo Electron WFCNT2 Laser Sensor LDH-03-3051 MLXS-D12-670-3 Unity II Used1bbb Tokyo Electron SOLV. A 14-Port CKD Pneumatic Manifold U2-855DD Unity II Used2bbb Tokyo Electron SOLV. B 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used2bbb Tokyo Electron SOLV. C 4-Port CKD Pneumatic Manifold U2-855DD Unity II Used2Cosel MMB50U-6 Power Supply bbb Tokyo Electron U2-855DD Unity II Used Working1AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Used Working1Kromschroder 84391075 Ignition Transber TGI 5-15/100R 8 433 138 2 Used2Kromschroder 84391075 Ignition Transber TGI 5-15/100R 8 433 138 2 Used5Kromschroder 84391075 Ignition Transber TGI 5-15/100R 8 433 138 2 Used7Edwards TPU Differential Pressure Switch Assembly Dungs AA-A2-6-5 Lot of 4 Used1Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used4Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used2Watlow WX6E2A300B0002 Aluminum Circulation Heater 120v 1500w Edwards TPU Used2Evans PWR-24PS-16UW-12UW Manifold with Gauges Edwards NRYOWP543 TPU Used Working1Norgren SPGB/35085/1 Pneumatic Manifold E28705037 Edwards TPU Used Working2Edwards Flexible Bellows Vacuum Hose 8.5" NW40 KF40 Reseller Lot of 4 TPU Used1National Display Systems 90X0212-B Touchscreen Monitor CM-X15/AMRMS Used Working1DIP DIP-394-055 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used1DIP DIP-344-040 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used1SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used1SBS CPCI-100-BP-S00002B PCB Card AMAT 0190-07848 Dual 0190-12159 Rev. 004 Used1SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used1SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used1TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used1TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used1AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working1AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working1Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used3Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG TPU Used3Chromasens LC16-WBI-DF Machine Vision Module CC00620 KLA-Tencor WBI 300 Used1Fuji Seiki QF100 High Vacuum Band Style Clamp NW100 ISO-LF Turbo Pump Used1bbb Tokyo Electron 2910-205212-11 Coat Wafer Spin Chuck 12" ACT12 300mm Used2Tegal 99-395-002 CIP-2 CTC Board PCB Card 98-395-001 Used Working1Texas Microsystems 24652 SBC Single Board Computer LC486 PCB Card PCA L486 Used1Ametek 038936 Air Blower Assembly DR101BX9M Alcon UACD8 Edwards TPU Used3Nikuni 25CLX15U5 MLTC Centrifugal Pump 25CLX15U5 Motor Nikon NSR-S205C Used1Hitachi Kokusai TZBCXL-00034A Wafer Cassette Handling Robot 300mm DD-1203V Used1Oriental Motor A4753-9215KE 5-Phase Stepping Motor VEXTA Used Working1Panasonic MSM5AZP1E Compact Servo Motor MSM Series Used Working1Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A Used Working1Pearl Kogyo ZDK-916L2C-P Tuner Controller Hitachi M-712E Etcher Used Working1Pearl Kogyo ZDK-916L2 Tuner Controller Hitachi MU-712E Missing Switch Used1Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Used Working1Daihen CMC-10A Automatic Microwave Tuning Control Unit CMC-10 Untested As-Is1Daihen CMC-ADP2 Microwave Tuning Control Interface Reseller Lot of 2 Used1Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working1Nihon Koshuha RF/TUNE CONT-2 RF Tuner Controller Hitachi M-712E Used Working1Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working1Nikon 4S082-948-1 Pneumatic Valve Operation Control Module NSR Used Working1NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working2NFR Technologies NL05S400KT-01X High Voltage Power Supply Used Working2Asyst SMART PLUS 3.00.0.1.2 Axys 21 Robot Controller Computer PC Used Working1AMAT Applied Materials 9010-01378ITL Celerity BF3 MFC Gas Panel Assembly Used1AMAT Applied Materials 0020-48303 300mm Cleancoat Lower Shield Cu Refurbished1AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC New Surplus1Hitachi M-712E Wafer Transfer Robot Reseller Lot of 3 Sanki Untested As-Is1Sanki Technos CR-712T-A Wafer Transfer Clean Robot w/End Effector Hitachi Used1Sanki Technos CR-712T-A Wafer Transfer Clean Robot No End Effector Hitachi As-Is1Yaskawa XU-RCM2500T-4 Robot w/Ceramic Arms Nikon KAB11320/201A-4 OPTISTATION 73Yaskawa XU-RCM2500T-4 Robot Nikon KAB11320/201A-4 No Arms OPTISTATION 7 Used1Bede Scientific Instruments MSOURCE Type A Microsource Assembly Untested As-Is1KLA-Tencor 0024476-001 Polarized Lens Pneumatic Linear Stage Rev. AA AIT Used1bbb Ring Insulator A7 (HF1.0) 1D05-300185-W2 new1KLA-Tencor 0024482-000 Dual Lens Assembly 0020209-000 0020210-000 AIT UV Used1Kokusai Electric Upper/Under Flange Temperature Controller J1-J6 DD-1203V Used1AMAT Applied Materials 0240-95833ITL Focus Clamping Box 0090-91422ITL As-Is1TBK RH700C Robot Hand Controller for RH707 Gripper Robot Used Working1Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Used Working1Kuroda SPCBUA2-20-40-ZV Wafer Robot Body bbb 3D80-000008-V4 T-3044SS Used4Kuroda SPCBUA2-20-16-ZV Wafer Robot Body bbb 3D80-000009-V4 T-3044SS Used2A to Z Electronics 3061420000 S2DIO Module SDI 30614210000 Used Working1System Sacom KS10PTHS P-Panel Data Converter Unit XRC KS-10PT HS Used Working3Therma-Wave 14-009901 Laser Detector Sensor PCB Module I MOD Used Working1Therma-Wave 14-009903 Laser Detector Sensor PCB Module R MOD Used Working1Therma-Wave 14-007811 A/F Detector Amplifier PCB Module 18-007564 Used Working1Therma-Wave 18-007561 TW Laser Detector Assembly PCB Module 14-00 Used Working1Therma-Wave 18-007560 Laser Detector Sensor PCB Module 14-007716 IHeNe Used1Therma-Wave 18-008113 Motorized Lens Turret Assembly Rev. A Used Working1MEC Tech Process Ring 30512-1001R new2MKS Technology AX7671-85 ASTex ASTRONi 0190-47768 Tested Working Unlisted1CTI-Cryogenics 8116030G001 On-Board 8F Cryopump 0190-76014 Tested Working Unlisted1CTI-Cryogenics 8116143G001 On-Board 8F Cryopump 0190-13371 Tested Working Unlisted1CTI-Cryogenics 0190-19390 On-Board 8F Cryopump Tested Working Unlisted1Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-079-1 NSR System Used Working3Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-081-1 NSR System Used Working1Therma-Wave 18-008925 Heater Power Supply Assembly Used Working1Edwards Helios Head Assembly Long Body Combustion Chamber Used Working2Hitachi Kokusai Electric QLM1-00159 Quick Load Box Pod Opener Controller Used1Hitachi Kokusai Electric QLM1-00193 Quick Load Box Pod Opener Controller Used1M.E.C. Tech RE2093 Process Plate Assembly Rev. 2 New2Hitachi Kokusai Electric QLM1-00170 Quick Load Box Pod Opener Controller Used1Granville-Phillips 275806-EU 275 Mini-Convectron Vacuum Gauge Rev. 00 Used Working1Pioneer Magnetics 114357 Power Supply PM 2972A-2-3 Lam 680-0146-029 Used Working15FMI 930V3 Electrostatic Chuck 300mm Monopolar Ceramic bbb-930V3-R Cu Refurbished1Nikon 4S586-952-1 Switch Box WY_LSW WYL NSR System Used Working1Shinko Electric ATA-IDE/R(IDEV2.0) PCB Card MASTER-ATA IDE bbb T-3044SS Used1Veeco 460-548 Profilometer Interferometer Camera Head Assembly WYKO Dektak Used1Brooks Automation 002-7391-38 Wafer Prealigner CHE Untested As-Is1bbb Shield Depo D3.0 P34.6 ES3D10-101276-V1 refurb1Meiden IZ47Z-11 SBC Single Board Computer PCB Card IZ48Z SU22A31929 Used Working1Edwards D37212202 Flash Control Module PCB im Interface 801-1047-01 Used Working1Meiden JZ85Z-11 Serial Data Acquisition Board PCB Card NOP OM-2 SU18A30127 Used1Meiden ZN71A-01 Backplane Board PCB Card SU18A30160 NOP OM-2 µPIBOC-I Used1DIP DIP-505-030 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used2AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working1Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is1Brooks Automation TS310A-D524S Indexer Handling Unit Robot Incomplete As-Is8Brooks Automation TS310A-D511S Indexer Handling Unit Robot Incomplete As-Is2Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used1Ametek 080418 Air Blower Assembly DR303AE9MA NACD8 with Cable Edwards TPU Used1Ametek 080418 Air Blower Assembly DR303AE9MA Alcon NACD8 Edwards TPU Used1Eclipse LP64-20 Low Pressure Proportional Mixer 106BV-B Edwards TPU Used Working3Eclipse LP64-16-14 Low Pressure Proportional Mixer 106BV-B 40R Edwards TPU Used1Sanyo Denki P50B05020DCL69 AC Servo Motor BL Super P5 Used Working1Ametek 037147 Air Blower Assembly DR353BR9 Alcon NACD8 Edwards TPU Used1MKS Instruments Exhaust Valve Control Stack Type 260 252 PDR-C-1C Untested As-Is1Trane X13650364-03 Chiller Contol PCB Module 6200-0023-10 6400-0557-02 Used1Advanced Crystal Sciences 6506 Flow Rate Controller Used Working1KoMiCo CHN 300mm Cu Baffle Plate Top Copper Refurbished2Tosoh 100-00528 Quartz Pedestal (214) Sleeve Rev. F2 New Surplus1Mykrolis PVA0AMMR4 AMAT Mirra Mesa 200mm Round 70/216 Planacore Lot of 4 New1Hisaka Works AD07N Double Acting Actuator with G45B-AD07N SCS14A-NW40-25A Used1BTU Engineering 316195VO5 System Microcontroller PCB Card 3161950 Used Working1BTU Engineering 3162281V02 Communication Interface II PCB Card 3162280 Used1BTU Engineering 3161161 System I/O Assembly PCB Card 3162280 Used Working1Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU Used1Cryco 100111 Wafer Loader Operator Interface PCB Assembly 03601-95 No Key Used1Cryco 100111 Wafer Loader Operator Interface PCB Assembly No Key Used Working1Industrial Control Links 100109 Boatloader Motor Driver PCB Module Cryco Used1bbb Tokyo Electron 2980-091523-12 WEE I/O PCB AP9E-0508A-11 TKB3170 ACT12-2001bbb Tokyo Electron Fiber Optic Cable 851 WEE Wafer Edge Exposure Used Working1Bruce Technologies 7351C Operator Panel FRT PNL Interconnect 3161211 BTU Used1Industrial Control Links 100109 Boatloader Motor Driver PCB Rev. B Cryco Used1BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200 Used Working1BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working1Kokusai Electric D2E01448 B #1 TIME/PLD Processor Board SAFETY PCB Card Used1Kokusai Electric D2E01448 B #2 TIME/PLD Processor Board SAFETY PCB Card Used1Kokusai Electric D2E01522 Interface Panel Backplane PCB INT-CNBA Used Working1HiTek Power E19297950 High Voltage Power Supply MV2000-151-01 Untested As-Is1Daifuku LNK-3585A Processor Board PCB Card BX8461AW Used Working1Daifuku BCN-3746B Connector Board PCB Card Omron H3FA-A Solid-State Timer Used1Formosa Industrial Computing R507-06I0C-200 Backplane Board PCB BPI-06 Used1Daifuku LED-3695A LED Display and Connector Board PCB Card Used Working1Kontron 56100000 SBC Single Board Computer PCB Card 786LCD/ST Daifuku CEL-M10A1Daifuku PS2681-02 Power Distribution Connector Board 2681P1A PCB Used Working1Contec PC-SDD500H SDD Silicon Disk Drive IDE Assembly Daifuku M10A.7.10.19 Used1Krom Schroder 84367720 Burner Control Unit IFS 110IMT-10/2/2N Edwards TPU Used1Hitachi Kokusai Denki 3CD02390 DC/DC Board PCB MTRCPUPS Mikro Sonic Used5Hitachi Kokusai Denki 3CD02411 Resistor Board PCB PA Mikro Sonic Used Working5Hitachi Kokusai Denki 2CD013310 Capacitor Board PCB FiL(1/3) Mikro Sonic Used5Hitachi Kokusai Denki 3CD02380 CPU Board PCB Assembly PMTRCPU Mikro Sonic Used3Hitachi Kokusai Denki 3CD02380 CPU Processor Board PCB PMTRCPU Mikro Sonic Used1Hitachi Kokusai Denki 3CD011210 Adjustment Board PCB OSC Mikro Sonic Used2Hitachi Kokusai Denki 3CD02164 Relay Board PCB CONT2 Mikro Sonic Used Working5Hitachi Kokusai Denki 3CD02233 Adjustment Board PCB P_MASTER Mikro Sonic Used3Hitachi Kokusai Denki 4CD01061 Relay Board PCB CONT Mikro Sonic Used Working5Hitachi Kokusai Denki 3CD02164 Connector Board PCB D-SUB Mikro Sonic Used5Hitachi Kokusai Denki 3CD01876 Resistor Board PCB OUT Mikro Sonic Used Working5Hitachi Kokusai Denki U01200PMQA Display Panel PCB 3CD1062 Mikro Sonic Used3Hitachi Kokusai Denki 4CD01063 Dual Mechanical Dial Counter PCB Mikro Sonic Used1Hitachi Kokusai Denki 3CD1062 Voltage/Current Display PCB Mikro Sonic U01200PMQA1Hitachi Kokusai Denki 3DC01582-1 Adjustment Board PCB PS Mikro Sonic Used4Hitachi Kokusai Denki 3CD02421 Electrolytic Capacitor Board PCB DRIV Mikro Sonic5Nikon 4S586-951-1 Switch Box WX_SW NSR System Used Working1Mydax M1010D Flowmeter Interface/Relay Board PCB Chiller 1M9W-T Used Working1TDK 3EA00E182/A Interface Relay Board PCB hi-pric with Cables Used Working1Pilz 474790 E-Stop Safety Relay PNOZ V PNOZ Series Used Working1Lambda Electronics UAZ1JP 400 Watt Power Supply UltraFlex Series Used Working1Automation Direct D2-04BDC-1 4 Slot PLC Controller DirectLOGIC 205 Koyo Used1AMAT Applied Materials 0040-09961 200mm ESC Chuck 0020-32963 0040-09957 As-Is2AMAT Applied Materials 0020-04277 Flange Insulating Taper 81-57228R Refurbished1Tokyo Keiso P-510-UA-4N-V3-N2-100L/MIN-0.1MPA Purgemeter Flow Meter New Surplus1Nor-Cal Products 3T-NW-50B Tee Fitting NW50 60-159172-00 Reseller Lot of 3 New1Nor-Cal Products 93-01764 Pneumatic Angle In-Line NW50 Used Working1Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Refurbished2Edwards C5164 High Vacuum Adapter Tube Tee ISO63 to ISO80 ISO-K NW25 Refurbished1Edwards C10517670 Vacuum Flexible Bellows NW50 Reseller Lot of 4 Refurbished1Edwards C10517670 High Vacuum Flexible Bellows Stainless NW50 New Surplus1Edwards C5167 High Vacuum Adapter Tee ISO63 ISO-K to NW50 NW25 Refurbished2AMAT Applied Materials 0050-75273 SS Plumbing Line 0050-75274 0050-75275 Used1EKK Technologies M-EKK-2419105 Magnetic Fluid Vacuum Seal A4823-9015KTGE New2Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00 Untested1MKS Instruments 0190-43490 PLC Assembly CVD CIOC AMAT Applied Materials Used1Tosoh 83600-14056-60000V J8R3 Target 300mm 6N Keyed New Surplus1Edwards NRY28B050 CVD Pump Heated N2 Partial Kit Y14102001 New Surplus1Rorze Automation RT130-521-001 X-Axis Robot Linear Track Used Working1Rorze Automation CURT-2102-4 Robot Linear Track Controller with Cables Used1Technos X-Ray Analyzer Command Key Controller Panel with Cable Used Working1Technos X-Ray Analyzer Command Key Console Controller Panel with Cable Used1Asyst Technologies 4002-6633-01 Load Port Door Assembly PCB 3200-1251-03 Used1AMAT Applied Materials 0010-29842 300mm DBR High Temp Belt Wrist Titanium Used1Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used2Brooks Automation 812100090 Communication Board PCB 013501-185-I1 AEZ01 Used3Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used1Dynax DNX5171 Processor Assembly PCB F104-CPU F104-IO/1 DNX5191 w/Cables Used1Oriental Motor C8741-9212KM Stepping Motor Magnetic Brake VEXTA Used Working1Nor-Cal 22-2843 Adaptive Pressure Controller Inblisys APC-001-B.1-01 Used2Nor-Cal 22-2843 Adaptive Pressure Controller Inblisys APC-001-B.1-01 Used1Varian Semiconductor Equipment E11326001 D1/D2 PS Controller VIIsta Used Working1TDK TAS-CPU Processor Board PCB Rev. 2.10 TAS300 Load Port Used Working1Bay 8287-03 Lift Motor Assembly Novellus 02-160858-00 Compact 60-152879-00 Used1Rudolph Technologies A19796-001 UV Optics Assembly HC302-2510 A15032 Used1CFT-75 Neslab 395104041507 Refrigerated Recirculator Bent Panels Tested Working1Rhenium Alloys 16-143143 D01 Alloys Quartz Infrared Halogen Lamp 480W ASM New6TRIVAC D16A Leybold 898030 Rotary Vane Vacuum Pump Tested Seized As-Is1TRIVAC D16B Leybold 91265-2 Rotary Vane Vacuum Pump Used Tested Working1AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev B4AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working1AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Rev C1AMAT Applied Materials 9090-01128 ITL Vacuum Robot Amplifier Chassis PX42B Used1Baldor FD2A05TR-RN23 Servo Control FlexDrive 105A-230/24V-RES-23 Used Working1NSK ESA-B014T25-21 Servo Drive Motion Controller Used Working1NSK ESA-B014T25-21.1 Servo Drive Motion Controller Used Working1Carl Zeiss 44 44 62 DIC Slider Microscope Objective Epi PLANAPO 50x/0.90 Used1Carl Zeiss 44 44 89 DIC Slider Microscope Objective Epi PLANAPO 100x/0.95 Used1Power-One LWN 2660-6 AC-DC/DC-DC Converter Convert Select 240 Used Working1KLA-Tencor Pneumatic Vacuum Control Unit Omega FMA-1605A Quantox XP Used Working2PCP Peninsula Control Panels 51-0265 Power Supply Rev. 0C KLA-Tencor Quantox1CTI-Cryogenics 8116143G001 On-Board 8F Cryopump Tested Working Unlisted1Invax Technologies 02425-011 Electrostatic Chuck 300mm PVD Upgrade New Surplus2bbb Tokyo Electron 200mm Cup Wash Disc ACT12 Used Working1PTI Progressive Technologies 12612G01 Exhaust Sentry 1000 Damaged Used As-Is1Thermo Neslab HX75 Chiller BOM 386105021704 Not Working1AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working9AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working1AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working1Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B1Nikon Interferometer Board LCLIUIF Working 4S018-168 Nikon NSR-S204B1Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working1Nikon 4S017-866-B Interferometer STG-IF PCB STGLIUIF Used Working1PCP Peninsula Control Panels 51-0265 Power Supply Rev. C KLA-Tencor Quantox1PCP Peninsula Control Panels 28-0196 Power Supply KLA-Tencor Quantox Used2Nihon Koshuha HFS-010A-H-3 EC2 Antenna Bias RF P/S Generator Hitachi M-712E1Alcab 2012AC Dual Stage Rotary Vacuum Pump 1091045400 No Tag Tested Working1Alcab 2012AC Dual Stage Rotary Vacuum Pump 1101101416 Used Tested Working1Fortrend F8025 Automatic Wafer Transfer Machine Used Tested Working1Oriental Motor CSD2109-P Compact 2-Phase Driver Board PCB VEXTA Used Working1CTI-Cryogenics 0190-19395 IS-1000 Compressor HV AMAT Applied Materials Tested2Kokusai Electric D21806 Brake Interface CVD Board PCB Assembly Vertron Used1Kokusai Electric D2E01444 Interface Panel PCB CNNT14-A0 DMCU8 00-10 Vertron Used1Kokusai Electric D3E01373C Processor PCB Card DMCU8 PLMDRV7/A3 Vertron Used1Opal PCB Control Card Assembly 30612510000 SBC VMIVME-7588-787 AMAT VeraSEM1Opal PCB Control Card Assembly 50312510000 SBC VMIVME-7588-787 AMAT VeraSEM3Mitsubishi P8TB-TE Industrial Robot Teaching Pendant BKO-FA0517H01 Spare1BL Autotec QC-20C-S44 Robot End-Effector Exchange System QUICK-CHANGE QC-20C2BL Autotec QC-20C-S44 Robot End-Effector Exchange System with Rail Guide QC-20C1Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Inspection System Untested1KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21531 Spare1KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21541 Spare1KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21551 Spare1KV Automation 4022.486.18102 Vacuum Output Sensor Board PCB VAC TSU 2W Spare2KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare1KV Automation 4022.486.18071 Vacuum Output Sensor Board PCB CLAMP/FREE Spare1KV Automation 4022.486.18121 High Flow Cleaning Board PCB 2W 322A5302 Spare1KV Automation 4022.480.62792 Sensor Board PCB  AIRB.CTR VAC TSU WH AT Spare1KV Automation 4022.480.62852 Gas Sensor Board PCB AIRB.CTR GAS TSU WH AT Spare1KV Automation 4022.486.21531 Gas TSU Manifold Assembly 4022.480.62841 Spare1KV Automation 4022.486.21541 Vac TSU Manifold Assembly 4022.480.62701 Spare1Chuo Precision Industrial X-Axis Manual Stage Assembly LS-112W LS-912W LV-6121KV Automation 4022.486.21551 WH-C/F Manifold Assembly 4022.480.62701 Spare1Panasonic MSD023A1Y Servo Driver Unit PCB Card 200V 200W Working Spare1Panasonic MSD5AZA1Y Servo Driver Unit PCB Card 100/200V 50W Working Spare1Panasonic MSD3AZA1Y Servo Driver Unit PCB Card 100/200V 30W Working Spare1bbb Tokyo Electron RL06EX-2 Relay Board PCB ACT12 System Working Spare1Sierra Safety Technology DCR1-S 1 Optical Flame Detector DNS FC-3000 Spare1Digiop S0608010250T 8-Channel DVR Workstation Optiplex 745 DNS FC-3000 Spare1Electroglas 253255-001-C Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is1Electroglas 253255-001-B Pneumatic Module 200mm 4085X Horizon Cut Cables As-Is1Electroglas PSM-C Power Supply Module with PDS 4085x Horizon 200mm Working Spare2Edwards D37280700 Pump Display Module Terminal Controller Damaged As-Is1bbbbbb Laser Technology Solutions 24744-6 Wafer Positioning System 2130-00 Spare1HD Hokuto Denko HR-201 Dynamic Electrode Assembly Untested As-Is1HD Hokuto Denko HZ-CPU101-27 CPU Processor Board PCB Card HZ-3000 Working Spare1HD Hokuto Denko HZ-FG101-31 HZ-FG Processor Board PCB Card HZ-3000 Working Spare1HD Hokuto Denko HZ-PGS101B32 Processor Board PCB Card HZ-PGS101C23 HZ-3000 Spare1HD Hokuto Denko HZ-PGS101A3 CPU Processor Board PCB Card HZ-3000 Working Spare1HD Hokuto Denko HABF501-A2 Heat Dispenser Board PCB HZ-3000 Working Spare1HD Hokuto Denko HZ-BASE1018 Backplane Connector Board PCB HZ-3000 Working Spare1Cosel G Series Power Supply GT3W and G2 5V2A Reseller Lot of 2 Working Spares1HD Hokuto Denko 230130 AS-200 Main CPU Board PCB Working Spare1HD Hokuto Denko 230131 AS-200 Sampler I/O Board PCB Working Spare1HD Hokuto Denko D230330 Motor Control Board AS-200 PCB Working Spare1HD Hokuto Denko D232870 Station Change Board AS-200 PCB Working Spare1HD Hokuto Denko D850536 Operator Interface Keypad LCD Panel PCB Assembly AS-2001HD Hokuto Denko 230132 AS-200 Switch Board Keypad PCB Assembly Used Spare1HD Hokuto Denko C276120 Sample Port COM555 MAIN PCB Assembly D232880 AS-200 Used1HD Hokuto Denko D230133 DC Connect Board PCB D232502 AS-200 Used Spare1Densi-Lambda ZWS Series Open Frame Power Supply Lot of 2 ZWS50-15/J ZWS30-5/J1Densi-Lambda ZWS75PF-12 Open Frame Power Supply ZWS Series Reseller Lot of 21Ampere M-8014TE-C90196A Communication Board PCB CAT6 Hokuto Denko AS-200 Spare1Ampere M-8014TE-C90196A Communication Board PCB RS232 Hokuto Denko AS-200 Spare1HD Hokuto Denko C230450 MP Drive Board PCB C233280 AS-200 Working Spare2Dolch Computer Systems 21-0E01-0030 ISA Video PCB Card 22-1001-0150 Spare1bbb Silicon Valley Group 99-80203-01 RS422 Communications PCB Rev. N 90S Spare1Texas Microsystems 23374 Greyhound1 SBC Single Board Computer PCB Card bbb 90S1HD Hokuto Denko B-200B Buret Sampler 5ml Assembly PCB C232890 AS-200 Working5HD Hokuto Denko Buret Micro Pump Assembly Denso HP480FAE AVR-2312-318E AS-2006DNS Dainippon Screen LPD Heater Overheat Detection Module FC-3000 Working Spare1DNS Dainippon Screen TANK#3 Phos Temperature Controller Cooling Tank FC-30001DNS Dainippon Screen TANK#4 Hot Rinse (H202) Temperature Controller Bath FC-30001Kokusai Electric CX1231 Controller Module Rev. 1B Vertron III DD-803V Spare1DNS Dainippon Screen CNCC Power Supply Switch Module FC-3000 Working Spare1DNS Dainippon Screen TANK#3 Phos Lamp Heater Controller FC-3000 Working Spare1DNS Dainippon Screen TANK#3 Phos Crystal Heater Controller FC-3000 Working Spare1DNS Dainippon Screen TANK#5 Phos Lamp Heater Controller FC-3000 Working Spare1DNS Dainippon Screen TANK#5 Phos Crystal Heater Controller FC-3000 Working Spare1DNS Dainippon Screen MFI PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare1DNS Dainippon Screen MEK PLC Control Module Mitsubishi Q63P MELSEC FC-3000 Spare1Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working2Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Working Spare8Millipore WCDP025L1 Wafergard Photoresist Chemical Dispense Pump Lot of 4 Spare1bbb Silicon Valley Group 99-45452-01 Controller Exhaust Flow 99-45982-01 Spare1Fuji Seiki 1092801 Pneumatic Throttle Valve NW100 ISO-LF QF100 Working Spare1Det-Tronics W867C3004 Ultraviolet UV Infrared IR Test Lamp W867 Untested As-Is1IDI Integrated Designs 2-102-037 Chemical Assist Module Double Stack CAM-E-2S2IDI Integrated Designs CAM-E-2S Photoresist Chemical Assist Module Working Spare2IDI Integrated Designs 2-102-037 Chemical Assist Module CAM-E-2S Working Spare4Grayhill 70GRCK8-HL 8-Channel I/O Module Relay Rack 70G-IDC5B Assembly Spare1Millipore 99-41122-01 Stainless Steel Photoresist Solvent Filter Housing Spare2CTI-Cryogenics 8033167 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is3CTI-Cryogenics 8033168 CRYO-TORR 8 Cryopump High Vacuum Pump Untested As-Is1KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working5KLA-Tencor 547220 Keyboard Breakout AIT2 Board PCB Rev. AA Used Working1AMAT XR80 Implanter Magnet Controller 9090-00086 ITL1Ultrapointe 001003T Fast Z Controller PCB Board Rev.A CRS AB1 2000 Used Working2Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-ABI-2000 Used2AMAT XR80 Implant Gap Servo Controller  9090-00097 ITL1Nikon NSR S204B RL-LIBHT2 PCB 4S018-679 working3Nikon NSR S204B 4S007-609 PPD-EXBODY PCB Board Working3Nikon NSR S204B 4S007-855 WL3CR PCB Board Working5Nikon NSR S204B 4S018-568-A WL3PNL4 PCB Board Working5Nikon NSR S204B Yaskawa XU-DL1600W30 Driver Board Working2Nikon NSR S204B 4S018-169 ALG-INF PCB Interface Controller Board Working2Nikon NSR S204B 4S005-204 PPD-LD PCB Board Working2Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working2Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working1Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working6Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working1Nikon NSR S204B Asahi 4S014-160A D2810 Linear Pulsemotor Cont. Board Working4Nikon NSR S204B 4S007-876 SHRINC-INTF-3 Interface Controller Board Working1Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working2Nikon NSR S204B 4S005-206 PPD-CCD PCB Board Working1Nikon NSR S204B 4S007-946 OPD-I/F-S PCB Board Working4Nikon NSR S204B 4S007-580 PPD-A/D PCB Board Working1Nikon NSR S204B 4S018-531-1 WL3MTR-H6 PCB Board Working4Nikon NSR S204B RIGG 2214 SDP Video Grabber 001052 Rev.B PCB Board working1Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working1Komatsu KDP1320LE-1 Control Panel Nikon NSR 7821-40-3016 Used Working4Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working2Sony CMA-D2 CCD Camera Adaptor Nikon Optistation 7 Used Working1Airpot Actuator S160P 455 X 550 KLA-Tencor CRS-ABI 2000 Working1GSI Laser Module 000-3008528 KLA-Tencor CRS-ABI 2000 000-30011003 Used1Ultrapointe 001049T Lon Motor Driver PCB 00045 KLA-Tencor CRS-3000 Used Working1Nikon 4K177-955-1 Stepper Transfer Robot NSR-S204B Working1Nikon Stepper Controller Z-X3-B4102RU Nikon NSR S204B Working1Nikon Power Controller RRW-07 Nikon NSR S204B Working 4S587-2443Nikon NSR S204B Robot Elevator Module Working2Nikon NSR S204B Robot Elevator Module Working1Nikon NSR S204B Wafer Mask Reticle Indexer Working1Mitutoyo ST320 Linear Scale Assembly Nikon NSR S204B Working BLECX3 4S013-3131KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 000056 Rev. 2 Working3KLA-Tencor CRS-ABI 2000 Camera Lens Filter Assembly 002001 Working 237500-4121Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B2Spectrum VME64 Control Board FRU 600-90051 Working Nikon NSR-S204B1Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B2Spectrum VME Control Board FRU 600-90072 Working 260-00698 R110 Nikon NSR-S204B1Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A200922Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A200921Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used2Agilent Z4208A Controller VMEbus PCB Card HP E1845-60001 Nikon NSR-S204B Used1Nikon NSR S204B Matrox Meteor II Multi-Channel 751-02 Rev.A PCB Board working1Nikon NSR S204B Equinox Multiport Serial I/O Adapter SST-4/8P PCB Board working1KLA-Tencor CRS-ABI 2000 Ultrapointe Corp. SDP Computer I/F Board 001022 working1Nikon NSR S204B Wafer Loader Indexer working2Nikon NSR S204B Wafer Loader Indexer working2Nikon NSR S204B SEA241A 4S587-625 AVIS2 ERG AMP Working1Brooks Automation TLG-L1-1000-S0-00EB Transponder LF80 Set with ANT-2K15 Spare1Cosel LEP240F-48 U Switching AC/DC Power Supply Reseller Lot of 3 Working Spare1Globe Motors 403A918 LP Shuttle Load Port Motor Assembly Brooks 129399 Spare3Globe Motors 537A551 LP Main Load Port Motor Assembly Brooks 6200081 Spare3Ametek GM8223D294-R4 LP Latchkey Motor Assembly ES-500-157-N-D-D-D-B Spare2Haydon E43H4B-2.33-013 LP Main-J12 Linear Actuator Reseller Lot of 2 Working1Haydon LR35HH4J-2.33-012 LP Shuttle-J1 Stepper Linear Actuator Assembly Working3VAT 96603-01 Pendulum Plate 012-009857-1 Series 650 Copper Cu Exposed Spare1Brooks Automation 148299 Shuttle IV Board PCB Vision LPM Load Port Working Spare3Brooks Automation 134335 Keylatch IV PCB with 173227 Vision LPM Load Port Spare1Techprint 1-603680 LED Board PCB Brooks 112749 Load Port VISION Working Surplus1VAT 65048-PH52-ADU1 Pendulum Control & Isolation Gate Valve 93376 Working Spare1SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 Working Spare3VAT 02010-BH44-AKG1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare1VAT 02110-BA44-AUM1 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare3VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer valve MONOVAT Spare5VAT 02010-BA44-1004 Pneumatic Rectangular Wafer Transfer Valve No Solenoid2VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked3VAT MONOVAT Rectangular Wafer Transfer Valve 02010-BA44 02110-BA44 Large Gate1HVA High Vacuum Apparatus 82219-0209QXH Pneumatic Wafer Transfer Valve Spare1VAT MONOVAT Stainless Wafer Transfer Valve 02010-BA44 02110-BA44 Unmarked Spare3SMC 60-151975-00 Pneumatic Vacuum Slit Valve XGT300-30-1A-X1 No Gate Spare1Asyst Shinko VHT5-1-1 OHV Overhead Vehicle FOUP Transport Drive Assembly Spare1bbb Tokyo Electron 2L81-050066-32 Power Supply PCB Card TYB512-3/IOMT-LF Spare4bbb Tokyo Electron 3D81-000065-V1 Interface Board PCB Card TYB61L-1/MAGGAP Spare2bbb Tokyo Electron 2L81-050133-V1 Timer Board PCB TAB35F-1/HTIL Working Spare5Luxtron 11-14259-01 Thermo Board PCB bbb Tokyo Electron 2L51-000013-V1 Spare12Luxtron 11-14259-01 Thermo Board PCB bbb Tokyo Electron 2L51-000004-V1 Spare2RKC Instruments THV-1PZ030-8*HN-9 Single Phase Power Control Unit Working Spare21Yaskawa Electric SGDM-02ADA 200V Servo Drive SERVOPACK Ver. 0900F Working Spare8RKC 2L14-000007-V1 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN4RKC 2L14-000004-V2 FAREX SR Mini System H-PCP-J-341-D*AD-NNN H-TIO-J-F501-8*NN2Mitutoyo PSU15 Power Supply Unit No Hatch Cover Working Spare1KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21581 Spare1KV Automation 4022.480.62711 Pneumatic Control Board AT PCB 4022.486.21571 Spare1KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working2KV Automation 4022.486.21571 Pneumatic Manifold Unit UH-P5 Working Spare1KV Automation 4022.480.62671 Pneumatic Manifold Unit WH GRP WS-1/RS1 Working1KV Automation 4022.480.62732 Pneumaseal Pressure Control Unit WH PU Working1Optonics 10-101930-00 Main Controller Board PCB ES-II PLUS Working Spare1Credence Systems 10-107246-00 ES Install Shunt Board PCB Optonics Working1Optonics 20-101359-00 LED Status Board PCB ES-II Credence Systems Working Spare1Nikon NSR S204B Yaskawa CLSR-4590-N2CD Linear Motor Controller working1Ohkura Electric GS2020A1NZ1 Thyristor GS2000A Series Working Spare1Tokyo Keiso SFC-M 4-Channel Flowmeter Signal Converter bbb Lithius Working Spare1bbb Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare1AMAT Applied Materials 9090-01168ITL Chuck Power Supply PX32J Rev. C Spare1AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X No Batteries1Axcelis Technologies 697221 Power Supply GEMINI Working Spare1Yaskawa XU-DV0804V Linear Motor Controller working Nikon NSR S204B1Digi (1P)50001008-01 D SCSI Terminal Server STS 1008 Working Surplus1Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Untested As-Is1ICT 4916171 PMT+PRE AMP In-Lens Detector AMAT Applied Materials SemVision cX4Plasma-Therm 4480159501 THNTD PCB Board PCB Rev. D Clusterlock 7000 Working1Oriental Motor BXM6400-B1 Brushless DC Motor with Gear Head 6GH5K-D1 Spare1ASML 4022.470.4321 Interface Module QPAB bbb Silicon Valley Group Working Spare1Sunx SF1-A16 Light Curtain Emitter and Receiver Set SF1-A Series Working Spare2Hermos TLG-I1-1000-S0-00-EB Transponder Set Antenna ANT-ID2-INFIXR6M No Cover15AMAT Applied Materials 0190-24484 Transponder Set with Antenna 0190-10813 Spare14Brooks Automation TLG-I2-AMAT-R1 Transponder Set with AMAT Antenna 0190-108135Yaskawa XU-DL1910 Motor Controller Nikon NSR S204B working2AMAT Applied Materials 0190-22570 Transponder Set with Brooks Antenna ANT-2K155Brooks Automation TLG-I2-AMAT-R1 Transponder Set with Antenna ANT-2K15 Spare4Hermos TLG-I1-AMAT-R1 Transponder Reader with Brooks Antenna ANT-2K15 Spare4Hermos TLG-I1-AMAT-R1 Transponder Reader with Antenna ANT-2K15 Working Spare5Hermos TLG-I1-AMAT-R1 Transponder Reader ASC-I1 TLG-RS232 Working Spare2Varian 013-4037-1 Interferometer 13-3948 KBr Beamsplitter Assembly Untested1RKC Instruments 6-39-04458 Resistance Thermometer AWPFA RBS-8244-5 Lot of 2 New4Nihon Koshuha HFS-010A-H-2 EC2 Antenna Bias RF P/S Generator Hitachi M-712E1AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC Working Spare1AMAT Applied Materials 0040-03612 Body Assembly Copper Cu Exposed Refurbished1Sony DPR-LS23 Control Board 4S019-117 Working 1-681-861-12 Nikon NSR-S204B7AMAT Applied Materials 0021-15605 300mm Upper Exhaust Shield Copper Refurbished1AMAT Applied Materials 0190-22570 Transponder with Antenna 0190-10813 Spare1Novellus Systems 02-107939-00 Industrial Computer PA7800 COMP P166/64 24V Spare1Hamamatsu Photonics C8849-13 Power Supply for Zenon and Mercury-Zenon Lamp Spare2Shimadzu EI-R04M (T1) Turbomolecular Pump Controller TMP Turbo 2L11-000004-V12Shimadzu EI-D3403M (T1) Turbomolecular Pump Controller TMP Turbo 2L80-000684-V12Edwards D37237000 Vacuum Pump Display Terminal Controller with D372076021IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 66230 Hrs. Tested Working1IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 44136 Hrs. Tested Working1Galil Motion Control DMC-8240-F 4 Axis Female PCB Card Equipe 2002-0120 Working1Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-00332Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-00331Equipe Technologies 2002-0142-022 Robot I/O Control Board PCB Card Spare1Rudolph Technologies 300mm F30 Advanced Macro Defect Inspection System Working1Shinko Electric BX80-070280-11 Robot SBX92100217 bbb T-3044SS Missing Arm As-Is1Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with Missing Arms As-Is1Sinfonia BX80-000180-V1 Dual Arm Wafer Handling Robot with Missing Covers As-Is1Mitsubishi RV-E14NHC-SA06 Robot Set with Controller CR-E356-S06 and End Effector2Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A2Nikon NSR-S204B Control Board IFIOPIF 4S013-355 Working 4S015-227 NK8601A1Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working6Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working1Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working5Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B2Nikon BD Plan 10 0.25 Microscope Objective 210/0 OPTISTATION 3 Used Working4Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working1Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working5Nikon NSR S204B LDV Tank Assembly working3Motorola MVME 147-023 Single Board Computer PCB Card bbb 3286-000516-12 P-8 Used2Motorola MVME 147-023 Single Board Computer PCB Card bbb 3286-000516-12 P-8 Used5Cognex Visioncard VME Board 3281-000124-1 Working bbb P-82bbb ACT 12 300mm Rinse Bath CT2910-304205-11 working4bbb ACT 12 300mm Motor Flange Assembly CT2985-490048-W8 MTR. FLANGE ASSY working5bbb Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working1bbb Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working1bbb Tokyo Electron 2981-600410-11 PRA Z Board 2908-600410-11 ACT12 Used Working1bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used2bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used1bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used1bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used2bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used5bbb Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used1bbb ACT12 300mm 2910-205326-11 Base Under Cup 2910-205327-11 Upper Cup Base4bbb ACT 12 CT2985-406661-W3 Left Arm Nozzle Assembly CT2986-401651-W2 working1bbb Tokyo Electron 3281-000148-13 PCB LST-2 3208-000148-11 P-8 Working1bbb Tokyo Electron 3281-000080-12 I/O PBC TVB9003-1/316 Used Working2bbb ACT 12 CT2985-406653-W3 Right Arm Nozzle Assembly CT2986-401643-W2 working1bbb Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used6bbb Tokyo Electron 3281-000131-12 PCB Interlock Cont P-8I 3208-000131-11 Used2bbb ACT 12 300mm Develop Process Station Nozzle Bath Assembly CT2985-412389-W13bbb Tokyo Electron 3281-000085-11 PCB Pincette Base 3208-000085-11 P-8 Used3bbb Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used5bbb Tokyo Electron 3281-000089-11 PCB Bridge Interconnect 2 3208-000089-11 Used1bbb Tokyo Electron 3208-000083-11 PCB Indexer Interconnect 3281-000083-11 Used2Bio-Rad Y5301267 AIMS DC Servo Board PCB Card Quaestor Q7 Used Working1bbb Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used1bbb Tokyo Electron 3281-000088-12 Y Interconnect 2 PCB 3208-000088-12 P-8 Used1bbb Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used3bbb Tokyo Electron 3281-000110-13 Y Interconnect 1 PCB 3208-000110-13 P-8 Used1Sanyo Denki PMM-BD-5705-1 Servo Driver Pentasyn bbb Tokyo Electron P-8 Used10bbb Tokyo Electron 2985-406656-W1 DEV SCAN (12R) ASSY 300mm Right Used1bbb ACT 12 300mm Develop Process Station Air Valve Assembly Untested AS-IS1RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7 Used Working1bbb Tokyo Electron 2985-413485-W1 300mm Dev. Cup Assembly 2985-413522-W1 Used1bbb ACT 12 300mm CT2985-406657-W1 DEV SCAN (12L) ASSY Motor Scan Assembly (Left)1bbb ACT 12 300mm CT2985-415077-W2 SPIN SHUTTER (12-L) ASSY working1bbb ACT 12 300mm SMC Air Cylinder CT024-012243-1 CT024-012244-1 Pair working1AMAT Applied Materials 0100-11003 Stepper Drive Board Rev. C Working P50004RGI Raster Graphics Inc 6000700-09A VME Board RG700 Quaestor Q7 Used Working2AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. A Used1Bio-Rad 8946-001 VME/Overlay Interface PCB Quaestor Q7 Used Working1bbb ACT 12 300mm set of 4 sliding doors Develop Process Station Tokyo Electron1bbb ACT 12 300mm Cup Base Assy. CT2985-461034-W1 working CT2985-461032-W12bbb Tokyo Electron 2985-469941-W1 Process Block Robotics Arm X-Axis ACT12 Used1bbb Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working3bbb Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working42bbb Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working1bbb Tokyo Electron CT2986-401661-W4 300mm ACT Develop Process Station Assembly1bbb ACT 12 300mm CT2985-403941-W1 Rinse Resist Arm Assembly working1Nikon 2S020-061 Optistation PCB 2S701-009 Used Working2Nikon 2S020-061 Optistation PCB 2S701-009 Used Working2Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working2Nikon 2S700-583-1 Optistation PCB Control Board 2S014-036-4 Used Working7KLA-Tencor CRS1010 Laser Power Board 0046591-0001Nikon PSM-11520 Optical Fiber Light Source Optistation 3 200mm Used Working3Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working1Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working5Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working1Nikon 200mm Wafer Handler Assembly Optistation 3 Inspection System Used Working1Nikon Optistation 3 200mm 2S003-017-1 PCB Board working14Nikon Optistation 3 200mm 2S003-017-1 PCB Board working14Optem International 29-60-02 Fiber Optic Illumination Source Lot of 4 Used1Nikon Optistation 3 200mm Keyboard Console 2S070-031 working 2S700-3862Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working2Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working2Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working1Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working3LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working1LAM Research 4420 Tri-Convectron Interface Assembly 853-017620-001 working2LAM Research 4420 Solenoid Tray Assembly 853-013610-001 Rev.C  working2Force Computers SASI Board 810-017035-001 Rev. B  Working LAM 4420 880-12536-1012Lam Research 810-017031-2 ADIO PCB Board Rev. 2 Rainbow 4420 Used Working4Force Computers 310004 PCB Card SYS68K/SIO-2 LAM 4420 Rainbow Used Working1KLA-Tencor CRS1010 Lens Filter Assy. 000056 working12Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working1Force Computers 880-12535-101 VME PCB Card Lam 810-017038-002 4420 Used Working2LAM Research 4420 Stepper Motor Driver Board 810-017016-1 Rev. B Working7KLA-Tencor 001003T Fast Z Controller PCB Rev. 06 CRS1010 Used Working6LAM Research 4420 Gap Drive Control Assembly 852-017350-003-3-C508 working1LAM Research 4420 852-017500-003-6-C220 CRT-Keypanel Chassis Assembly Working1LAM Research 4420 852-017500-001 CRT-Keypanel Chassis Assembly working1Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working2Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2 Used Working2LAM Research 715-130080-008 200mm End Effector Rev. BC Used Working1LAM Research 4420 852-011201-581-E1-230 Exit Loadlock Bottom View Assembly as-is1LAM Research 715-12165-8 B 200mm End Effector Used Working1LAM Research 715-12165-8 B 200mm End Effector Used Working1AP Tech Valve AP1001S Working 839-014929-800 Rev. D LAM 44202LAM Research 4420 852-0112200-500-E1-230D 853-012123-500-E1-230 Harmonic Arm1AP Tech Valve AP1001S Working 839-014911-901 Rev. C LAM 44201Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used1LAM Research 4420 852-0112200-003-E 853-012123-001-G-230S Harmonic Arm Drive1LAM Research Front EMO Box Assembly 852-017152-003 Rev. G Working  LAM 44202MKS Instruments 100997144 Isolation Valve Lam Research 839-013521-001 4420 Used1LAM Research RF Switch Box Assembly 853-017163-101 Rev. 3 Working  LAM 44201LAM Research 4420 839-013514-001 Weldment, Exit Load Lock Vacuum Man. working2LAM Research 4420 839-013513-001 Weldment, Entrance L.L. Vacuum Manifold working2Lam Research 853-017163-001-G-262B RF Switch Box 4420 Rainbow Used Working1KLA-Tencor CRS1010 Camera Lens Filter 0020013LAM Weldment He Line Manometer Adapter 839-029227-100 Rev. C Working LAM 44201Millipore CMLA-21 Capacitance Diaphragm Gauge Lam Research 853-017643-003 Used1Lam Research 713-013236-001 Outer Gate Exit Safety Cover Rainbow 4420 Used2Lam Research Etcher Shuttle Assembly Cover 4420 Rainbow Used Working2LAM 4420 Manometer Cover Box 714-013234-001 Rev. C Working2LAM 4420 Left & Center RF Cover Plate 714-013218-001 Lot (4) 714-013219-0011LAM 4420 Heated Interconnect Manifold Assembly 853-013575-002 Working2LAM Research 4420 Upper Chamber Assembly Parts 839-011516-001 working1GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used7Lam Research 852-011061-503-C-CHMAIN Lower Main Chamber 4420 Etcher Used As-Is1LAM Research 4420 Upper Seal Plate Assembly 853-020936-001 working1LAM Research 4420 Upper Chamber Assembly Parts 853-011117-001 010250C1-AO01E1bbb Tokyo Electron MA02702 LCD PCB Board ORN-3B ACT12 Used Working19Tylan General CDL-21S06 Pressure Sensor working2Nikon Optistation Microscope Turret As Is1KLA-Tencor 001003 Fast Z Controller PCB Rev. 5 CRS1010 Used Working3bbb Tokyo Electron 3281-000148-12 PCB LST-2 Board 3208-000148-11 Used Working4Nikon PCB Control Board SH-SNS 4S018-907 Used Working NSR-S307E3Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used1Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used1Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used1Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used1Nikon PCB Control Board 4S013-481 Used Working NSR-S307E1Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E1Nikon Control Board 4S018-922-IU-DRV1-X4P Used Working NSR-S307E1Asahi Kogyosha 36020167 Chamber I/F Board PCB Nikon NSR-S307E Used Working2
品牌其他
型号CHAMBERASSY839-07349-11
加工定制
厦门翔麟奕科技有限公司
  • 公司类型私营独资企业
  • 经营模式-私营独资企业
  • 联系人叶先生
  • 联系手机15260226267
  • 联系固话0592-15260226267
  • 公司地址厦门市翔安区民安街道莲亭路837号39楼702
主营业务
西门子低压 施耐德 施迈赛 PILZ 皮尔兹 DOLD
工程和技术研究和试验发展;软件开发;电气设备销售;电子元器件批发;机械零件、零部件销售;五金产品批发;五金产品零售;技术进出口;货物进出口;进出口代理;国内贸易代理;销售代理;软件销售;信息安全设备销售;计算机软硬件及辅助设备批发;互联网设备销售;物联网设备销售。
叶先生 电话咨询 立即询价