返回 产品详情
LAM Research 716-088536-902
来自厦门翔麟奕科技有限公司
¥5.00
发布时间  2024-05-23 14:17:42 关注次数  28
厦门翔麟奕科技有限公司
工业云 3年
图文介绍 产品参数 供应商信息
Nikon HFE S58 Power Supply Module MCC-847-03 NSR-S307E Used Working 1
Panasonic MSMA022S2F AC Servo Motor bbb Tokyo Electron Lithius Used Working 1
Omron 3G8B2-NI000 Interface PCB Card bbb Tokyo Electron 3286-002065-11 P-8 Used 2
bbb Tokyo Electron 3281-000086-14 PCB Loader Cont Mother 3208-000086-12 P-8 Used 2
bbb Tokyo Electron 3281-000095-13 PCB PST OPT Card 3208-000095-11 Used Working 2
KLA Instruments 6001755-03 DP Video PCB Card bbb 3281-000051-11 P-8 Used Working 2
KLA Instruments 710-806050-01 IP Video PCB Card bbb 3281-000050-11 P-8 Used 2
Acumen 200-1019 Camera VMEVC PCB Card 10019 bbb 3281-000124-11 P-8 Used Working 2
bbb Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used 2
bbb Tokyo Electron 3281-000094-11 STAGE IO MOTHER Backplane PCB Used Working 1
Schroff 23000-207 VME 7-Slot I-O Bus Backplane PCB bbb Tokyo Electron P-8 Used 1
Schroff 23000-020 VME Systembus 20-Slot Backplane PCB bbb P-8 Used Working 1
CCS PD-3024-2 (CE) 2-Channel LED Light Controller Used Working 1
AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left Assembly 1
Asahi 130NK 3-2A AVIS2 ERG AMP Nikon 4S013-374 4S587-011-1 Used Working 1
Premium NP-0588 AC/DC Power Supply Card ASML 4022.471.84293 Used Working 1
Coherent 0169-628-04A Laser Emission Control Module 170C Innova Used Working 1
Varian L6281701 Pneumatic Angle Valve NW-16-A/0 L6281-701 Lot of 3 Used Working 1
CKD USG3-X0693 Vacuum Valve Hitachi S-9300 CD SEM Used Working 1
OEM-650A ENI OEM-6A-11491-51 Solid State Power Generator Not Working As-Is 1
National Instruments 196848A-01 PXI Controller PXI-1031 OEM 1923725001 Used 1
Novellus Systems 15-142376-00 Wafer Align Viewport L/LK VCTR New Surplus 10
AMAT Applied Materials 0041-26196 Gasbox PVD Chamber 300mm Base new 1
Nova Measuring Instruments 210-40572-01 Main Center Board PCB Used Working 1
Protech 960560-G4B SBC Single Board Computer PCB Card P5/6x86 SBC Used Working 1
Imagenation CX100-10 Frame Grabber ISA Card PCB NovaScan 840 Used Working 1
Nova Measuring Instruments 210-40530-00 DAB Card PCB NovaScan 840 Used Working 1
A.C.S Electronics SB214PC-E Controller Board PCB Card P.S.-6 NovaScan 840 Used 1
Nova Measuring Instruments 210-47024-01 Interconnection PCB NovaScan 840 Used 1
Advantech 190261060 PC-BUS Backplane Board PCB Advantech NovaScan 840 Used 1
Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Used Working 2
TMC Micro-g Gimbal Piston Isolators Set of 3 14"x8.25" Used Working 1
AMAT Applied Materials 0100-00360 H.V/A.MAG Motherboard Backplane PCB Used 1
AMAT Applied Materials 0100-00361 H.V/A.MAG Motherboard Backplane PCB Used 1
AMAT Applied Materials 0100-90588 H1 B/L Vacuum Control Motherboard PCB Used 1
AMAT Applied Materials 0100-00305 Target System Vacuum Motherboard PCB Used 1
AMAT Applied Materials 0100-94012 Plasma F-Gun Control Motherboard PCB Used 2
Tosoh Quartz 70290-01 Manipulator Assembly New Surplus 1
AMAT Applied Materials 0040-41846 Membrane Support Fixture 300mm Titan Head New 1
Futaba DB9409-001 Junction Box 2S067-013 HPS-FB6 Nikon 4S061-844 Used Working 1
AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new 2
AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new 4
IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Used Tested Working 1
IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT Used Tested Working 1
iGX600L Edwards A54630958 Dry Vacuum Pump IGX 600 L Factory Refurbished 1
Komatsu 20001940 Controller MCU-04TM bbb Lithius Interface Block IRAM Used 1
Komatsu 20001940 Controller MCU-04TM bbb Lithius Interface Block IRAM Used 2
SMC INR-244-272A Power Supply 2TP-0C203 bbb Tokyo Electron Lithius Used 6
Yaskawa XU-DV0704Z Linear Motor Controller 4S586-561-4 Nikon NSR-S204B Used 1
Yaskawa SGMAS-12ABA-TE12 AC Servo Motor bbb 5085-407038-11 PRA Lithius Used 3
Yaskawa SGMAS-12ABA-TE12 AC Servo Motor bbb 5085-407038-11 PRA Lithius Used 3
Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 8 Used 1
Aerotech 1035-01-1000-01 Magnet Servo Motor 1035DC/MO/E1000MB Working 1
Yaskawa SGMAS-A5ABA-TE12 AC Servo Motor bbb Tokyo Electron Lithius Used Working 6
bbb Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working 1
bbb Tokyo Electron OYDK-052 ANALOG BOARD 0-1 #02 PCB Lithius Used Working 4
bbb Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius Used 2
bbb Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius Used Working 3
Omron G9SC-140-TE3 RY MTR #02 PCB Board bbb Lithius Used Working 2
Omron G9SC-140-TE3 RY MTR #02 PCB Board bbb Lithius Used Working 11
Omron G9SC-140-TE2 RY SPIN MTR #02 PCB Board bbb Lithius Used Working 6
bbb Tokyo Electron HA-015 RY CHEM #02 Board PCB Lithius Used Working 3
bbb Tokyo Electron HA-028 JCT DC #02 Board PCB Lithius Used Working 2
Sanyo Denki PY2B050C62S8P01 Servo Amplifier BL Super PY bbb Lithius Used Working 2
Sanyo Denki PM-UDP1S06-20 PM Driver bbb Tokyo Electron Lithius Used Working 2
Yaskawa Electric SGDM-10ADAY751 Servo Driver SERVOPACK bbb Lithius Used Working 2
Harmonic Drive Systems 9800033901 Servo Drive HA-655–2-200 bbb Lithius Used 2
Sinfonia Technology SCE92100137 Wafer Robot bbb Tokyo Electron T-3044SS Used 1
FEI Company 18572-H AVA Controller Module 18098 Used Working 1
Mitra 9415 031 68301 Power Supply PE3168/30 FEI Company 4022 192 57342 Used 1
ASML 4022.437.1121 Laser Phase Modulator Box Used Working 2
Kokusai Electric CQ1400A Digital Direct Controller Accuron CQ-1400A Used Working 1
Kokusai Electric CQ1500A Digital Direct Controller Accuron CQ-1500A Used Working 1
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 1
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 1
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 2
Brooks Automation TLG-I1-AMAT-R1 Transponder Reader ASC-I1 Hermos TLG-RS232 Used 4
Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used 2
Teknic SST-3000-829 Synchronous Servo Drive SST-3000 Delta Design 2100840 Used 2
Tokkyokiki 2-200 Pneumatic Active Damper Set of 4 Used Working 1
Asyst Technologies ABX-78355-26-00 48V Control Board PCB 06764-005 Used Working 2
Ansul 442R Agent Release Alarm Panel Used Working 3
Pittman 14203D162 24V Servo Motor 676-4326 LO-COG Used Working 3
SMC INR-244-230V Thermo-Con Power Supply PP 12inch Used Working 1
SMC KP1210116B Thermo-Con Power Supply PCB PWB0015B Used Working 1
SMC KP1210115B Thermo-Con Power Supply PCB PWB0014B-1 SPS-001 Used Working 1
SMC 2TP-4A231 Thermo-Con Power Supply Interface PCB Used Working 1
J&M Instruments VLP30100SSX N2 Pressure Controller ASML 4022.436.8286 Used 3
Extrbbbbbb 4022.486.75471 Charcoal Molecular Base Filter System ESI004802 Used 1
Fuji Electric PPMCFBR2-M AC Power Monitor Type PPM Used Working 1
TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Untested Damaged As-Is 1
bbb Tokyo Electron 381-640804-9 LED Display Board PCB Mark-8 Clean Track Used 1
bbb Tokyo Electron 381-643319-5 Arm Interface Board PCB Mark-8 Clean Track Used 1
bbb Tokyo Electron AB12C-6029 Mark-8 Star/Stop Panel F3297380(4)A PCB Used 3
bbb Tokyo Electron 1381-645732-16 OPEPANE CONN Board #2 PCB Mark-8 Used Working 1
bbb Tokyo Electron 1381-645731-13 I/F SOL CONN Board #2 PCB Mark-8 Used Working 1
bbb Tokyo Electron 381-642446-1 I/F FPA Connection Board PCB Mark-8 Used 1
Sansha Electric HKD-1510BT bbbbl Surface Treatment Power Supply SanRex Used 1
Nikon RTB01-100 LED Indicator Display Board PCB with Harness Used Working 1
Lam Research 810-17025-3 RF Generator Cart Breakout Board PCB 710-17025-3 Used 2
SoftSwitching DS10025A208V1SH1000C Dynamic Sag Corrector MINIDySC Used Working 6
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 1
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 4
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 25
Brooks Automation TLG-I2-FL6M-01 Transponder Set with Antenna ANT-ID2-INFIXR6M 4
ASML 4022.471.4716 Interface Board PCB Module Used Working 1
AMAT Applied Materials 0010-26776 300mm Target Gravity Safe Lock Right new 1
ASML 4022.472.2229 Interface Module 4022.471.8019 Used Working 1
ASML 4022.486.1542 Mechanical Lift Assembly 921AA0.4RIL-Z925 Used Working 4
ASML 4022.480.3498 Safety Control Interface Module 462121H5U Used Working 1
ASML 4022.470.9005 RSSB2 Interface Panel PCB 4022.471.7025 Used Working 1
PULS AP 153.131 F Power Supply PCB Card ASML Used Working 1
ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card Used Working 1
ASML 4022.437.1053 2-Channel Processor Board PCB Card Used Working 2
ASML 4022.471.7503 HSSL Process Control Board PCB Card Used Working 2
ASML 4022.471.7683 Interface Board PCB Card 4022 471 76821 Used Working 1
Fuji Electric PPMEFBY2-M AC Power Monitor Type PPME Used Working 3
ASML 4022.471.7711 System Backplane Board PCB Used Working 1
Hitachi A008-2 Processor Control Board PCB Card Used Working 4
Hitachi PM02-1 Processor Control Board PCB Card Used Working 4
Hitachi MM96-2 Processor Control Board PCB Card Used Working 2
Hitachi RYB308N-1 Processor Control Board PCB Card Used Working 2
Hitachi RYBXC-1 Processor Control Board PCB Card Used Working 2
Hitachi A008-2 Processor Control Board PCB Card M-511E Used Working 2
Hitachi MBN13-2 Backplane Board PCB M-511E Used Working 4
Hitachi MBN27-2 Backplane Board PCB M-511E Used Working 2
ECI Technology LU0610 Hamilton Modular Valve bbbbbbbber QLC-5001 Used Working 1
Measurement Computing CIO-DUAL-AC5 Data Acquisition DAQ PCB Card QLC-5001 Used 1
ECI Technology TLA7334-02 Interface Board PCB Card QLC-5001 Used Working 1
ECI Technology TLA-511 Interface Processor Board PCB Card QLC-5001 Used Working 1
Shinko SCE93-100008-C1 LPCN-2A-1 Interface Board PCB SBX08-000035-11 Used 4
Kniel System-Electronic CP 24.2,2 24V Power Supply Card ASML 4022.430.14761 Used 1
Omron C40H-C6DR-DE-V1 Programmable Controller SYSMAC C40H Used Working 1
Meiden JZ29A-01 Processor Board PCB Card MU24A30756 SU22A31138 B Used Working 1
Contec PIO-32/32L(PCI) Isolated Digital I/O Board PCB 7097A Used Working 1
Meiden ZN66Z-10 Backplane PCB Board SU18A30082 MU24A31083 SU22A31797 B Used 1
CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001 Used Working 1
CTI-Cryogenics 8116250G002 On-Board P300 Cryopump with 0190-27354 Used Working 1
DNS Dainippon Screen TANK#1 Trimix Temperature Monitor Process Bath FC-3000 Used 1
DNS Screen TANK#5 Sulfuric 120C Temperature Monitor Cooling Tank FC-3000 Used 2
Bede Scientific BEDE\4PH\2CH\V1.1 Processor Board PCB Card Used Working 5
Arcom Control Systems SC88T Processor Board PCB Card M.E.M 24-09-96 Used Working 1
DSP Design SP18006240.006 Processor Board PCB Card SP180 Used Working 1
Kelek Systems KSL-CT8 Processor Board PCB Card SPCT8C 14 640 801 Used Working 1
Arcom Control Systems SBPL5 Backplane Board PCB Used Working 1
Meiden RZ42Z USB Digital I/O PCB Card MU24A31092 SU22A31819 Used Working 1
DNS Dainippon Screen DSLE-0041 24V Processor Board PCB 539-52358 Used Working 1
Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used 6
Intec Electronic 4022.488.06101 CDV V2.2 THS PCB Card ASML 4022.488.0610 Used 2
Arcom Control Systems TLA 551 Thermistor Elecrode Sensor Board PCB RCI-F Used 1
Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100 Used Working 1
ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. B QLC-5100 Used Working 5
ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. F QLC-5100 Used Working 1
Hamilton 0162573 PSD/2 Syringe Pump HVX Drive LU0960 ECI QLC-5100 Used Working 1
Kokusai Electric D2E01448 Interface Board INT-MB PCB Card Used Working 2
Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working 1
Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working 1
Countant Lambda E60744 Power Supply Omega MML600 45JY8 12CY8 Used Working 1
Lambda CSF250NM 5/12 5/12E Power Supply Sirius H70023 Used Working 1
Kniel System-Electronic CMP 0810 Power Supply Card ASML 4022.436.48921 Used 1
SMC INR-244-271A Controller Assembly 4TP-1A860 bbb Tokyo Electron Lithius Used 1
PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-003 Kollmorgen Used 3
PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-005 Kollmorgen Used 3
NSK EMLZ10CF1-05 Servo Drive Motion Controller Used Working 14
ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
bbb Tokyo Electron FPD BOX Panel PCB 3D81-000058-V2 TYB61S-1/RSC Used Working 2
bbb Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working 2
bbb Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working 1
bbb Tokyo Electron 3D81-000096-V1 Processor PCB Card TYB513-1/IOGS Used Working 3
bbb Tokyo Electron 3D81-000097-V1 Processor PCB Card TYB514-1/IO48 Used Working 3
bbb Tokyo Electron 3D81-000039-V2 Interface Board PCB TYB62F-1/PUMP Used Working 2
bbb Tokyo Electron 3D81-000103-V1 Interface Board PCB TYB62E-1/RF Used Working 2
bbb Tokyo Electron 3D81-000010-V2 Backplane PCB TYB614-1/RFPD Used Working 2
Hitachi BBM308N-2 Backplane Interface Board PCB M-511E Used Working 1
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working 1
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working 1
Hitachi CHB308N-2 Backplane Cable Interface Board PCB M-511E Used Working 2
Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3 Used Working 4
Toshiba MCC-1310-01 Transbbbber Relay Board PCB Used Working 4
Toshiba MCC-1369-02 Power Distribution Board PCB Used Working 4
Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S307E System Untested As-Is 2
Nikon 4S018-173 Air Diver 2 Pneumatic Driver Assembly AIRDRV2 Used Working 1
Nikon KAB11000/1360-0 200mm Wafer Chuck KAB11000/A301-7 OPTISTATION 7 Used 2
Power-One RPM5H4H S673 Power Supply Dual Module 2500W Used Working 1
Power-One RPM5A4A4C1CS676 Triple Output Power Supply 2500W Used Working 1
Power-One RPM5CSCSKCS674 Dual Output Power Supply 2500W Used Working 1
ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB Used Working 1
Power-One RPM5GEDEC1CS669 Triple Output Power Supply 2500W Used Working 1
AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used 2
AMAT Applied Materials 9090-01168ITL Electrostatic Chuck Power Supply PX32J Used 1
AGM Electronics Direct Current Transmitter TA4000-13 Lot of 7 Used Working 1
SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used 1
SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB Card AMAT 0190-10156 Endura Used 1
AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working 1
AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working 1
AMAT Applied Materials 12-406247-03 Backplane Board PCB 0100-00454 Used Working 4
Philips Programmable High Resolution Counter Timer c Used Working 1
Nikon Optistation 7 APW Exhaust Blower 1NB412S77 working 7
Digital Dynamics SIOC Anneal Controller 02-134495 Rev. A Used Working 1
Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working 1
Keyence T2DC1-11349-10303 Heater Tape Unit Controller TF2-31 Used Working 2
MMPIC Scanner Drive MMPIC-20A-3 Lot of 2 Used Working 1
Lambda LFS-50-5 Regulated Power Supply Used Working 1
Lambda LFS-50-5 Regulated Power Supply Used Working 1
Power-One RPM5C4C4D4LCS677 Triple Output Power Supply 2500W Used Working 1
Komatsu Electronics ABBBA0011000 Heat Exchanger Power Supply GR-712 Used Working 1
Hamamatsu H8008 Photomultiplier Tube Used Working 1
Edwards NGW415000 Pneumatic Gate Valve Used Working 1
Edwards NGW415000 Pneumatic Gate Valve Used Working 1
KLA-Tencor 655-774157-000 Imaging Inspection Lens Assembly 2365-UI Used Working 1
Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System Used 1
KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working 1
KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working 1
KB Electronics KBPI-240D (3736) DC Motor Indexing Control PENTA-DRIVE Used 1
Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working 2
Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working 6
MKS Instruments 750B11TCD2GG Baratron Pressure Transducer Used Tested Working 2
Panel-Tec Operator Terminal ST2000 REL Rev. 3.60R Used Working 1
bbb Tokyo Electron 2981-600316-11 Temperature Control Connection Board PCB Used 1
CTI-Cryogenics 8186518G003R On-Board IS Controller Rack Mount Panel Used Working 1
Helix Technology 8186263G001 Power Supply Board PCB HLX CTI-Cryogenics Used 1
Helix Technology 8186052G001 CPU Processor Board PCB CTI-Cryogenics Used Working 1
Yaskawa XU-RCM2500T-4 Robot Lot of 2 Nikon KAB11320/201A-4 OPTISTATION 7 As-Is 1
Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 31231-1 Used Working 5
Yaskawa Electric SGDM-A5ADAY702 Servo Driver SERVOPACK Ver. 35942-1 Used Working 2
Watlow TLME010DDDDDDDD Temperature Monitor TLM-8 Anafaze Lot of 3 Used Working 1
Teknic SST-1500-XCX Servo Drive SST ServoStepper Used Working 1
Teknic SST-1500-111 Servo Drive SST ServoStepper Delta Design 2100865 Used 1
Teknic SST-1500-102 Servo Drive SST ServoStepper Delta Design 2100841 Used 3
Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used 4
Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100842 Used 5
Teknic SST-1500-103 Servo Drive SST ServoStepper Delta Design 2100953 Used 10
Pall PHD11ANMEH11B PhotoKleen EZD-3 Filter Reseller Lot of 2 New Surplus 4
MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. F Eclipse Used 3
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working 1
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working 1
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working 1
Mykrolis QCCYATM01K Filter Catridge QuickChange ATM Chemlock New Surplus 3
Progressive Technologies A48031SSLV-L Pressure Valve SENTRY 1500 Used Working 1
Asyst Technologies 06763-805 48V Control Board PCB 06763-705 Used Working 1
Genmark 95033E0021 GNK Robot Indexer and Chamber ASM Epsilon 3000 Untested As-Is 1
AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Refurbished 3
Fuji Electric YD317551CC01 Servo Driver Processor Board PCB Used Working 1
Surpass DV-5000R Digital Pressure Sensor Monitor Display 500 kPa Lot of 4 Used 3
Surpass DV-5000R Digital Pressure Sensor Monitor Display 300 kPa Lot of 4 Used 1
Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6 Used 1
Pixebbbb PX18HB1-L6-XAXC 18" LCD System Display bbb 879-8179-002 Used Working 1
Barnant D-1804-3 Motor Masterflex Cole-Parmer Pump Head 70 15-21 Used Working 3
Rara Electronics IRV500 Dynamic Brake Resistors Reseller Lot of 2 Used Working 5
DIP Incorporated EH0111(C)-10C Power Supply PCB EH0111 DB-D56-101E Used Working 2
DIP Incorporated EH0111(C)-12C Power Supply PCB EH0111 DB-D56-101E Used Working 4
DIP Incorporated EH0111(D)-12C Power Supply PCB EH0111 DB-D56-101E Used Working 4
bbb Tokyo Electron MPC-T0059A-11 Interface Board PCB IO MTR #03 TOB1059 Used 2
bbb Tokyo Electron AP9Z-1700B Interface Board PCB IO PIR #01 TKB7110 Used 1
bbb Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121 Used 1
Pacific Scientific R24HENA-HA-EB-NV-00 Brushless Servomotor working 1
Lambda LFQ-30-1 Regulated Power Supply Used Working 1
Lambda LFQ-30-1 Regulated Power Supply Used Working 2
Lambda LFQ-30-1 Regulated Power Supply Used Working 2
Lambda LFQ-30-1 Regulated Power Supply Used Working 14
Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used 1
Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used 1
Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used 2
Panasonic LD-C60 Laser Line Sensor Controller Parallel Interface Sunx Used 1
Lambda LFS50-5-43641 Regulated Power Supply Used Working 90
Lambda LFS50-5-43641 Regulated Power Supply Used Working 7
Shinko 0TS12B-M2-1 Robot Servo Controller CNT Used Working 1
Cosel P300E-24 Power Supply Used Working 2
Cosel P300E-24 Power Supply Used Working 2
Lambda LDS-P-15 DC Regulated Power Supply Used Working 11
Lambda LDS-P-15 DC Regulated Power Supply Used Working 22
Pro-Face HTK270M HMI Touchscreen Graphic Panel Used Working 1
Omron PLC Module C200H C200H-ID212 C200H-0C22H C200H-NC112 C200H-LK201-V1 Used 1
Nova 153-00000-01 Wafer Handling Assembly Used Working 1
bbb 879-8210-001D A3101 Signal Conditioner Board working 1
VAT 0200X-BA24-AIE2 Pneumatic Slit Valve with Mounting Bracket Used Working 7
bbb Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used 1
bbb Tokyo Electron 3281-000090-13 INKR DRV/SACC Interconnect 3208-000090-12 Used 4
Nemic Lambda LWT50H-5FF Open Frame Power Supply Board PCB SCB103B Working Spare 1
bbb Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used 1
Texas Microsystems P54C Greyhound 2 CPU Board PCB 902-F 21487A Used Working 1
Nikon NSR S204B S-2A5801 Optical Assembly S-EX3L3403 working 1
Shinko MA-18305 LED Panel Board PCB SW Used Working 1
Simco 4009180 Ionizing Bar Controller VISion Delta 2100944 Used Working 1
bbbeFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working 3
bbbeFrank GmbH 013501-171-27 Load Port Modul Interlock Used Working 5
bbbeFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working 3
bbbeFrank GmbH 013501-177-27 Load Port Modul E84/I Used Working 1
bbbeFrank GmbH 013501-186-27 Load Port Interface Connector Used Working 2
bbbeFrank GmbH 013501-186-27 Load Port Interface Connector Used Working 2
SMC 1-Port Pneumatic Manifold bbb Tokyo Electron 3D80-000055-V1 Used Working 2
MRC Materials Research A119715 Eclipse Star RF Etch Chamber Assembly Untested 1
Yasunaga YP-20V Air Purge Pump 2985-511354-12 bbb ACT12 Used Working 2
bbb Tokyo Electron 2986-400745 Precision Chill Plate 850 ACT12 Used Working 1
bbb Tokyo Electron SHU Shuttle Slider Assembly ACT 12 300mm Used Working 1
bbb Tokyo Electron Flow Pressure Gauge Panel ACT 12 Clean Track 1
bbb Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used 1
bbb Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used 1
bbb Tokyo Electron 2985-412581-W1 TCP Transition Chill Plate 848 ACT12 Used 2
Daihen ES7 RF Power Generator Used Working 1
Pearl-Kogyo ES7-IIA High Voltage Power Supply Hitachi M-712E Used Working 2
Sanyo Denki 103H3505-30GEJ2 Stepping Motor G10-308 bbb Lithius Used Working 1
Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator bbb ACT12 Used Working 1
Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator bbb ACT12 Used Working 2
Yokogawa SR1200A02-2SN*1C/96S2895 DD Servo Actuator bbb ACT12 Used Working 6
bbb Tokyo Electron 300mm Wafer Transition Station Interface Block Lithius Used 1
bbb Tokyo Electron HA-033 DC/DC COV #06 Board PCB PHA-033-0 Lithius Used Working 1
CKD LYX Pressure Valve Transducer Assembly Lot of 2 LYX-0507 LYX-0615 Used 1
Sanyo Denki 103H5510-70E1 Stepping Motor bbb Tokyo Electron Lithius Used Working 4
Tokyo Keiso UCUF-06B/ZT Photoresist Pump Assembly bbb Lithius Used Working 17
CKD PMM20-8BUR-HG-TC Pneumatic Valve Reseller Lot of 4 bbb Lithius Used Working 2
bbb Tokyo Electron SH5M015T1 Photo-Resist Filter FMVLS F16SS VCO Lithius Used 8
bbb Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working 2
bbb Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working 2
Yaskawa Electric SGMAH-02A1A4S AC Servo Motor Assembly Used Working 2
Oriental Motor PH268M-E068 2-Phase Stepping Motor Vexta Used Working 2
Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Used 2
Matheson SEMI-GAS GSM-468 Gas Safety Monitor Cabinet SGS Halocarbon 14 CF4 Used 1
Agilent E1708A Remote Dynamic Receiver with Cable 10880-60201 Lot of 2 Used 1
Sumitomo CNFM1-4095-11 Induction Gearmotor with FA-Coder 48-2500P4-L6-5V Used 1
Rudolph Technologies A23516BRT0423 Load Port Switch Module Used Working 1
Edwards W60730000 Pressure Monitor Analog Out 100V 1570 Used Working 7
Kokusai CB100A SECS Interface with GPNET OPT-23S Fiber Optic Modem Used Working 8
Irie Koken 1SV25M0 Manual Angle Valve Reseller Lot of 3 Used Working 3
Baldor BSM80A-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working 2
Baldor BSM80N-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working 1
Schott 20800 Fiber Optic Light Source Nikon 80962-1 Illuminator 80952-0 Used 2
Schott 20800 Fiber Optic Light Source Nikon 80962-2 Illuminator 80951-0 Used 1
CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-OB5S Used Working 1
CyberResearch CYSSR24 24-Channel Relay Board PCB 1781-IB5S Used Working 1
Allen Integrated Assemblies AP14231 Backplane Connector Board PCB Used Working 3
Allen Integrated Assemblies AP10204 Main Processor CPU Board PCB Used Working 1
Allen Integrated Assemblies AP10204 Main Processor CRSD 1037 CPU Board PCB Used 3
Hitachi UNIT 2 DC Power Supply M-712E Shallow Trench Etcher Used Working 2
Hitachi UNIT 3 DC Power Supply M-712E Shallow Trench Etcher Used Working 2
Keithley PIO-SSR-120 Multi-Channel Parallel Digital I/O PCB Card Used Working 2
Axiomtek SBC8168 SBC Single Board Computer PCB Full Socket 370 CPU Card Used 2
Axcelis Technologies 544621 8 Port Serial Card PCB 544611 Used Working 1
Axcelis Technologies 544622 8 Port Serial Card PCB 544612 Used Working 1
Keithley PIO-SSR-48 Soild State Relay PCB Card PC9532 14305 PIO-SSR-24/48 Used 1
Eaton 471882 Front/Rear Control Switch PCB Module 471872 Used Working 2
IEI World 010PCI19S-00-0E1 Industrial Passive Backplane Board PCB PCI-19S Used 2
Daihen RGA-10D-V RF Power Generator bbb 3D80-000826-V3 Used Tested Working 2
bbb Silicon Valley Group 859-9426-007 Wafer Inspection Stage Used Working 1
Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 1
bbb Tokyo Electron 3D08-000063-11 Processor PCB Card Used Working 1
AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used 1
Oriental Motor A5243-042 0.75A 5-Phase Driver Vexta EB4008-2V Used Working 2
Oriental Motor A5813-042 1.4A 5-Phase Driver Vexta EB4008-2V Used Working 2
Oriental Motor A5231-44 5-Phase Driver 1.4A Vexta EB4008-2V Used Working 2
Oriental Motor A6376-44 5-Phase Driver 0.75A Vexta EB4008-2g Used Working 4
Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 00 Used 8
Advantest BGD-022241 Processor PCB Card PGD-622241BB 22 Used Working 1
TDK PSK-144B MAIN Interface PCB Card Assembly PSK145A PANEL Used Working 1
ASTeX 34-0017-02 Liquid Chemical I/O Smart Controller PCB Card Used Working 1
ASTeX 34-0153-00 X126 RS232 Remote Control Board PCB Card Used Working 1
ASTeX Applied Science & Technology 34-0151-00 User Interface Board PCB Card Used 1
Power Architects 7 4 D2A7 Power Supply PA-1319 Nanometrics 9062-018221 Used 1
AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used 1
Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used Working 4
Hitachi Wafer Alignment Unit M-511E Etching System Cut Wires Untested As-Is 1
Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used 1
Edwards C10009049 ISO100 ISO-K Flange Blank-Off MKS SST100760110 Lot of 5 Used 1
Integrated Power Designs SRW-115-4005 Power Supply Air Products 287-605230 Used 4
bbb Tokyo Electron HTE-IFA-A-11 IFB Add On Board PCB TAB1300 Lithius Used 1
bbb Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used 1
bbb Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Lithius Used 1
Edwards NGW073000 Pneumatic Gate Valve ISO100 Copper Cu Exposed Used Working 1
bbb Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305345-12 Lithius Used 1
bbb Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305346-11 Lithius Used 1
bbb Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305344-11 Lithius Used 2
Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 01 Used 2
Advantest BLD-024486 Processor Board PCB Card PLD-624486BB FW: 007171A 00 Used 1
Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007171A 00 Used 1
Mercury 10013 AE Advanced Energy R27-256558-00 RF Match 3150273-004 F/R A Used 1
Brooks Automation 146828 Series 8 Robot Controller CHE Used Working 1
Brooks Automation 146828 Series 8 Robot Controller CHE Used Working 1
Oriental Motor DFC1507 5-Phase Stepping Motor Driver Used Working 1
Oriental Motor DFC1507 5-Phase Stepping Motor Driver Used Working 1
Motorola 0734000 Processor PCB Card VME 340B 84-W8787B01C Used Working 1
AMAT Quantum Leap III TPDU Monitor Card 0100-90492 1
Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Copper Exposed Used 1
Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Untested As-Is 1
Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Used Working 1
Crouzet PAM 3 Interface PCB Board MRC Eclipse Star Used Working 3
Panasonic MSMA022C1S AC Servo Motor Used Working 1
Yaskawa Electric JRMSP-120XCP96000 Battery Module MEMOCON GL120 Used Working 1
Force Computers 109872 SBC VMEbus PCB Card SPARC/CPU-50T/256-333-4-2/R4 Used 1
Red Rock Technologies SPL2038-1 Single Slot SCSI Hard Drive Module PCB Card Used 1
Yaskawa SGMCS-08DDA-TE12 AC Servo Motor bbb Tokyo Electron Lithius Used Working 3
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 1
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 3
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 1
Omron V640-HAM11-V2 RFID Amplifier Unit Used Working 1
AMAT Quantum Leap III Power Distribution Box 9090-00482 1
iL600N Edwards NRB447945 Dry Vacuum Pump Copper Prepared New Surplus 1
Dynax K3AX-095 Robot Teach Pendant Hand Held Controller Used Working 1
Hitachi 1D0-0034 Interface Board PCB A4X24R Used Working 1
Yaskawa Electric YR-CRJ3-A00 Industrial Robot MOTOMAN Used Working 1
Aera FCPIDN980C-ABA Pressure Insensitive MFC MGMR AMAT 0190-27879 Used Working 1
Aera FCPI981CBAXDIDJAA Pressure Insensitive MFC mgmr AMAT 0190-34215 Used 1
Horiba STEC SEC-Z512MGX Digital Mass Flow Device MFC Z500 10 SLM N2 Used Working 1
Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus 1
Pall AB2Y0033JBC .3 Micron Filter PROFILE II New Surplus 8
Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL New Surplus 13
Varian 233355097 Pneumatic Vacuum Angle Valve NW-40-A/O Used Working 4
Hine Design 94-3374 860 Vacuum Arm Assembly RND QTZ 01102-003 Asyst Refurbished 1
Fusion Semiconductor Systems 092381 UV Probe Meter M150Z with Probe & Cable Used 1
Fusion Semiconductor Systems 092381 UV Probe Meter M150 with Probe Used Working 1
Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-01 Sensor Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used 1
AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used 1
IPUP A100 Alcabbb A100L Dry Vacuum Pump AMAT 170 Torr Not Working As-Is 1
Daihen RGA-20C-V RF Power Generator bbb Tokyo Electron 3Z39-000002-V1 Used 4
NRF Technologies NL05S400KT-02X High Voltage RF Power Supply Used Working 1
Daifuku 7A005751-Q 10.5" Operator Interface Display Panel F1201 Used Working 4
AMAT Applied Materials 9090-00859ITL 24VDC Power Supply Used Working 1
AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used 1
Dage Backplane Systems V316-405 10-Slot Backplane Board PCB 071324A AMAT Used 1
iBT Technologies IB820H Industrial SBC Single Board Computer PCB Socket 478 Used 1
Portwell PBP-14A7-A Industrial Backplane PCB AMAT Applied Materials Used Working 1
bbb Tokyo Electron 1B80-002411-11 Temperature Controller Komatsu AIC-7 Used 3
CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used 3
CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used 10
CTI-Cryogenics 8080040K001 Adsorber Helium Filtration Cartridge IS-1000 Used 2
AMAT Applied Materials 0040-48973 SD Stepper Interface PCB Panel 0130-00537 Used 5
AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working 1
Komatsu Electronics 20016470 AIC-7 Temperature Controller AIC-7-12-UC-D As-Is 1
NDS Surgical Imaging 20X0212-D Touch Screen Monitor CM-X15/AMRMS Used Working 3
Granville-Phillips 275262 Convection Pirani Vacuum Gauge 275 Used Working 2
MKS Instruments UHV-25-AKK-ENVN Ultra High Vacuum Angle Valve Used Working 1
Swagelok SS-4BMG-VCR Metering Bellows Sealed Valve NUPRO Used Working 1
SBS Technologies cPCI-100-BP IP Carrier Card PCB 91415171 AMAT 0660-01876 Used 4
Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. A Used Working 2
Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. B Used Working 2
SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro CompactPCI Interface PCB Card Used 2
SST Woodhead 0190-01155 DeviceNetPro PCB Card AMAT DNP-CPCI-1 490-1437 AMAT Used 2
DIP 15049105 DeviceNet Card CDN491 PCB AMAT Applied Materials 0660-01879 Used 8
Hirata HPC-785B LED Load Port Status Display Indicator Board PCB Used Working 1
Sanyo Denki QS1J03AA0WNA3P01 AC Servo Motor Driver Sanmotion Q Used Working 1
Sanyo Denki P50B04005JCP00 AC Servo Motor Sanmotion P Used Working 1
Moxa EDS-316 16-port Unmanaged EtherDevice Switch Used Working 1
Emerson SDN 10-24-100P Rack Mount 24VDC Power Supply Sola Used Working 1
Emerson SDN 9-12-100P Rack Mount 12VDC Power Supply Sola Used Working 1
Evans Components NB-GGL-8C-PT1 Manual Regulator Manifold 3100B150PG088 Used 1
Evans Components PW-08-STRPS-PTT2-12 Manual Shutoff Manifold 3103G150PG028 Used 1
Evans Components PW-12-08T2-08GVPS-PT Manual Shutoff Manifold 3103G150PG028 Used 1
Blancett B110-500-1/2 Turbine Flow Meter Transducer Sensor K-Factor 10843.3 Used 1
Edwards D37374400 iGateway Pump LON to Ethernet Synergis Module Used Working 1
Edwards D37902020 6 Pump Tool Interface Box Module Used Working 1
Edwards D37902010 Frame Interface Box 24V DC 8.4W Module Used Working 1
Edwards D37902000 Frame Controller 24V DC 1A Module Used Working 1
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working 3
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used 1
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used 1
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used 1
Perkin-Elmer A5610   Control Board PCB 859-8552-005 B Used Working 15
Hitachi 560-5519 EMO CNT Emergency Off Interface Board PCB Used Working 1
Hitachi 560-5518 PS RESET Power Supply Board PCB Used Working 1
Hitachi 569-5524 PS CONT Power Supply Interconnect Board PCB Used Working 1
HC Power 10A0015-077 MOSFET Switcher Power Supply HC40-C1097 6800146070 Used 14
Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used 1
Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used 1
Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used 1
Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used 2
Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8' Used Working 1
Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used 1
Kawasaki 50976-2142 Wafer Handling Robot Interface Cable 8 Foot Dual End Used 1
Synetics Solutions MA-0190-13786-01 Minienvironment Pressure Gauge AMAT Used 1
DNS Dainippon Screen 2VC45348 Power Supply Module Used Working 1
Semiconductor Equipment 4460 Hot Shot Sweep Station Missing Parts Untested As-Is 1
AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Used Working 1
Hitachi DC Power Supply Unit 1 M-712E Etcher Damaged Case Untested As-Is 1
MKS Instruments LM505 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used 1
Aera FCPI980CBAXDIDJAA Pressure Insensitive MFC MGMR AMAT 0190-34214 PI-98 Used 1
VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Used Working 1
Lam Research 15-307276-00 Quartz bbbbbb Sola MSR-FSR 633015174 Cu Refurbished 3
Hitachi 3-843646-01 Ceramic Insulation Ring 233229463 New Surplus 1
V-Tex 3-850133-^8 Pneumatic Slit Valve Rollcam Copper Cu Exposed Used Working 1
AceCo S33-2240 300mm Susceptor Pedestal Heater Assembly Copper Cu Used Working 1
Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working 2
Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working 1
Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working 2
Comet 20033653 RF Match Lam Research 27-382473-00 Used Working 1
VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Copper Cu Used 1
Panasonic MSD3AZA1Y04 X Driver Unit bbb ACT12 Used Working 6
Intermec PM4C10000300220 Thermal Printer EasyCoder PM4i Lot of 2 Untested As-Is 1
VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Used Working 2
Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working 1
Edwards NRY1ND523 High Vacuum Adapter ISO63 to ISO100 ISO-K NW25 Used Working 1
V-Tex 3D80-000006-VI Pneumatic Slit Valve Rollcam bbb Tokyo Electron Used 1
CX-5000S Comdel CX-5000S/13 RF Power Supply 5000W 13.56MHz Used Tested Working 2
Mitsubishi FX1N-40MR-ES/UL PLC Control Assembly MELSEC FX2N-16EYR FX0N-3A Used 1
Mitsubishi F930G0T-BWD-E Graphic Operation Terminal MELSEC Used Working 1
Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used 1
Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used 1
VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working 4
VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working 2
VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working 1
Ulvac PST-05A Standard Diode Ion Pump UlvIon Used Working 1
Daifuku CEL-M10A Industrial Computer PC Drive MEDA0055601A Untested As-Is 1
Millipore INGEN1PUO Photoresist Dispense System InbbbliGen Used Working 3
Asyst 03365-008 200mm Wafer Indexer Lift Assembly Nikon OPTISTATION 3 Used 1
MDX 5kW AE Advanced Energy 2194-022-J Magnetron Drive 3152194-022 Tested As-Is 1
Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T Used 1
Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T As-Is 1
Yaskawa USAHEM-02-TE62 Spin Motor Assembly bbb Tokyo Electron ACT12 Used Working 1
Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor bbb 2985-519524-W1 ACT12 200mm Usedssembly bbb 2903-300063-13 ACT12-300 Used 1
bbb Tokyo Electron 2910-205212-11 12" Wafer Chuck ACT12-300 Used Working 1
DIP Incorporated EH0107(C) Power Supply Board PCB DB-D38-101D Used Working 4
TMP Shimadzu FTI-2301D (T1)-D3R Turbomolecular Controller Used Tested Working 3
Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Incomplete As-Is 1
Leica E84-BOARD Interface PCB Module KLA-Tencor 11301397220000 Used Working 3
Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera bbbb Grabber PCB Card Used 2
Leutron Vision PP-X-CL-S/64/PoCL PicPort Camera bbbb Grabber PCB Card Used 2
Comtrol 9370-003193 Surge Interface RocketPort KLA-Tencor 113014000130000 Used 1
Hommel Werke UNILINE-2048 Scan Camera Jenoptik KLA-Tencor 11301396014000 Used 1
IDE 3000561 003 FSS Digital I/O Com Module KLA-Tencor 110206160810001 Used 1
Setra 2671MR6LD2ED9GN Pressure Sensor Lot of 2 KLA-Tencor 11301397562000 Used 1
KLA-Tencor 11301400065000 Main/Chuck Vacuum Control Module Used Working 2
KLA-Tencor 11301400050000 Camera Flash Unit 11 301 400 050 000 Used Working 2
Adder ADDERView GEM 4-Port KVM KLA-Tencor 11301400290000 Used Working 1
KLA-Tencor 11301400036000 Camera Power Supply Unit 11 301 400 036 000 Used 2
Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400181000 Used 1
Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400191000 Used 1
KLA-Tencor 11020616020105 AL Lens Lighting Retrofit Kit Used Working 2
Schott A20800.2 Fiber Optic Illuminator KLA-Tencor 11301396041000 Untested As-Is 1
IDE 7401030 001 FSS Side/Rear Access Version KLA-Tencor 11020616081000 Used 2
KLA-Tencor 11020616027030 EBR Lens with Slit Cover Assembly Used Working 2
Schott A20800.2/20 Illuminator DCR III A05853 KLA-Tencor 11301396041000 Used 1
Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400195000 Used 3
Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400190000 Used 2
Schott A08903 Fiber Optic Illuminator Lightline Cylindrical Lens Used Working 3
Schott A08020.60 Fiber Optic Single Flexible Lightline 60" Used Working 1
Schott A08031.40 Fiber Optic Single Flexible Lightline 40" Used Working 1
ITK Pegasus N-Axis Hi-Res bbbbbbbbing Controller KLA-Tencor 11301400543000 Used 2
Schott A08031.40R Fiber Optic Single Flexible Lightline 40" Used Working 1
Aera FCPIR981C4VX9THA Pressure Insensitive MFC MGMR Lam 797-106426-011 Used 1
KLA-Tencor 11301400430000 NG Power Supply Unit 11 301 400 430 000 Used 1
KLA-Tencor 11301400403000 System Controller Macro Computer PC Used Working 2
Brooks Automation 6-002-0705-SP Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used 1
Brooks Automation 129973 Series 8 Robot Controller Used Working 2
bbb Tokyo Electron 2987-455715-W1 CRA X Cassette Block Robotics Arm 200mm Used 1
Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working 1
SMC US13394 Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0010-25625 Refurbished 1
Asyst 9700-6584-01 Advan Tag RFID Reader Set PB 90M 9700-6224-02 Sensor Used 10
Asyst Technologies CAN Device Advan Tag RFID Reader Used Working 2
Vaisala DMT347 Dewpoint & Temperature Transmitter Nikon 4S066-914 Used Working 1
Ion Systems 280 CPM Charged Plate Monitor MKS Instruments Used Working 1
ION Systems TT1ER4-1-ION2 Handheld Controller Pendant 111360 Brooks Used 1
bbb Tokyo Electron 2L81-050032-V2 Processor Board PCB TAB113-1/I01-LF Used 2
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Damaged Untested As-Is 1
Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 400 SCCM SF6 Refurbished 1
MKS Instruments 103250028 Pirani Vacuum 325 MODUCELL Hitachi 2-815886-01 New 1
Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus 1
Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus 2
Panasonic MSMA3AZA1N Compact AC Servo Motor New Surplus 1
ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. A QLC-5100 Used Working 1
Greene Tweed 5641A2276SS629 Slit Valve Door Plate Used Working 1
Yaskawa Electric JANCD-NBB30-E Backplane PCB Board F352773-1 NXC100 Used Working 1
Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100 Used Working 1
Yaskawa Electric SGDR-SDCCA01 Robot Controller PCB Card F352768-1 NXC100 Used 1
Yaskawa Electric JANCD-NIO30-1-E Robot Controller PCB Card F352760-1 NXC100 Used 1
Yaskawa Electric JANCD-NIF30-1-E Robot Controller PCB Card F352759-1 NXC100 Used 1
Yaskawa Electric JANCD-NTU30-E Robot Controller PCB Card F352761-1 NXC100 Used 1
Yaskawa Electric SGDR-COBCA01AB-E Robot Controller PCB F352766-1 NXC100 Used 1
Yaskawa Electric SGDR-COBCB030GAB-E Power Supply PCB Card F352801-1 NXC100 Used 1
Yaskawa Electric JANCD-NSP30-E Battery Backup PCB Board F352769-1 NXC100 Used 1
Fuji Electric CSP-320FB-E Power Supply Yaskawa NXC100 Used Working 1
Asyst Technologies Load Port Door Assembly PCB 3200-1251-01 Used Working 2
Kyoto Denkiki KDS-30350SFX High Voltage Power Supply KDS-30350SF Used Working 1
Kyoto Denkiki KDS-20170TW High Voltage Dual Output Power Supply Used Working 1
KoMiCo Technology 633000021 CU LH HHP SLAM Cover 633007480 Copper Refurbished 3
VAT 65048-JH52-ALJ1 Throttling Pendulum Gate Valve 229351 Used Working 1
Millipore W2501PH02 Photoresist Pump bbb 90S Used Working 1
Horiba STEC SEC-Z512MGX Mass Flow Controller MFC 200 CCM H-CHF3 Refurbished 1
Seiko Seiki P9-HF Power Module CON2 Inverter SCU-H1000C Used Working 1
Seiko Seiki P019Y---Z856-3RB Relay Interface PCB SCU-H1000C Used Working 1
Seiko Seiki P019Z---N331-3R1 Signal Relay PCB SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z861-3 AI Resistor Board PCB H600 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z865-3A2 Multiplier Board PCB H600 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z895-3 Power Supply Board PCB SR2 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z891-3 Power Supply Board PCB SR1 SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z841-3MM Backplane PCB SCU-H1000C Used Working 1
Seiko Seiki P017Z---P031-4 NF Noise Filter PCB SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z881-3D2 Capacitor Board PCB SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z871-3D1 Capacitor Board PCB SCU-H1000C Used Working 1
Seiko Seiki P019Y---Z811-3M2 H600 Control PCB Card SCU-H1000C Used Working 1
Seiko Seiki P019Y---Z801-3M1 H600 Control PCB Card SCU-H1000C Used Working 1
Seiko Seiki P005Y008Z831-3S1 H600 Control PCB Card SCU-H1000C Used Working 1
Kokusai Electric D2E01448 #1 TIME/PLD Processor Board INT-MB PCB Card Used 1
Kokusai Electric D2E01448 #2 TIME/PLD Processor Board INT-MB PCB Card Used 1
Kokusai Electric D2E01522 Interface Display Board INT-CNBA Used Working 1
Seiko Seiki P010Y-001Z851-3 1B LED Indicator PCB SCU-H1000C Used Working 1
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working 1
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6 Used Working 1
ION Systems 5285e-28 AeroBar Emitter Ionizer 28" NilStat 5285(e) Used Working 2
Nor-Cal Products 3870-02019 Pneumatic Angle Isolation Valve NW25 Used Working 1
CGI Motion 017PLX0200-XX-5942X Planetary Angle Gearhead 20:1 New Surplus 2
Novellus Systems 2-288189-00 300mm Lift Pin Actuator Assembly Used Working 2
Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Used 1
Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU w/End Effector 0190-08246 Used 1
Brooks 6-0002-0706-SP Robot WTM-511-2-FWS02-V1-CU End Effector 0190-08246 Used 1
Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 w/End Effector 0190-08245 Used 1
VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used 1
bbb Tokyo Electron Wafer Indexer Robot 300mm Immersion System Incomplete As-Is 1
SMC HRZ010-WS-Z Thermo Chiller Series HRZ Cosmetic Damage Used Tested Working 1
AMAT Applied Materials 0021-78095 Reflexion Z2 SMC Regulator Assembly Cu Used 2
AMAT Applied Materials 0021-78095 Reflexion Z3/(MM) Regulator Assembly Cu Used 3
AMAT Applied Materials 0021-78097 Reflexion RR SMC Regulator Assembly Cu Used 4
AMAT Applied Materials 0021-78095 Reflexion Z1/(IT) Regulator Assembly Cu Used 3
AMAT Applied Materials 0021-78095 Manifold with SMC ITV2001-31N3N4-X95 Cu Used 3
AMAT Applied Materials 0021-78097 Manifold with SMC ITV2031-31N3N4-X97 Cu Used 1
AMAT Applied Materials 0021-78095 Manifold 2 Serias Valve UPA Assembly Cu Used 8
AMAT Applied Materials 0021-78097 Manifold 1 Valve UPA Assembly Copper Used 2
Air Products 2262071 Stainless Steel Flex Hose Pig Tail Spool 108" Refurbished 1
Sensor Technics SQ01566 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used 2
Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used 1
Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working 1
Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working 1
VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board 88 791 PCB Used 3
VAT TECON 090-001.3 650 Series Gate Valve Heater Controller and Elements Used 1
AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-312N4-DIQ00076 Cu Refurbished 1
AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-31N3N4-X95 Copper Refurbished 1
Hitachi 3-855544-02 Stainless Steel V1 UHF Plate New Surplus 1
Entegris 2359-003 30 Foot Cable 12 Conductor Cable 12D30 New Surplus 1
AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working 1
Edwards NRY1XA520 High Vacuum Tube Tee ISO80 ISO-K iQDP Used Working 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 2
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Used Working 1
Edwards E21909516 iQDP Power Cable 7 Foot iQDP40 iQDP80 Used Working 1
Edwards E21909516 iQDP Power Cable 3 Foot iQDP40 iQDP80 Used Working 3
National Instruments 18971A-01 GPIB Controller Ethernet PCI-8232 PCB Card Used 1
Credence 97152002-02 Server Interface PCB Card 40152002 Used Working 1
V-Tex Twinrollcam Slitvalve with Gate Door 13.875"x2.125" Used Working 1
SMC HRZ010-WS-Z Thermo Chiller Series HRZ Tested Not Working No Power As-Is 1
SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Hitachi 3-854491-*A Refurbished 1
iL600N NL Edwards A53356945XS Dry Vacuum Pump 1 Hour Run Time Refurbished 1
iL70N Edwards A53355945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished 1
iL70N Edwards A533-55-945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished 1
iL70N Edwards NRB446945 Dry Vacuum Pump 0 Hour Copper Cu Exposed Tested As-Is 1
Edwards C31305000 Manual Isolation Vacuum Valve PV25MKS Copper Cu Exposed Used 1
DIP Incorporated EH0107B Power Supply Board PCB DB-D38-101B DFS607TD1A Used 4
Digital Electronics UF7811-2-DV1-24V LCD Touch Screen Display Copper Cu Used 23
Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working 1
Celerity FC-2979MEP5X-WM Mass Flow Controller 200 SCCM C4F6 TN2979 Refurbished 1
Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working 1
MKS Instruments 252E-14578 Exhaust Valve Controller Type 252 Used Working 1
Ultrapointe 001002T A-Stop Control Lon Motor Driver PCB 00045 V1.0 CRS-1010 Used 2
Ultrapointe 000675T Lon Motor Driver Board PCB Rev. 5 KLA-Tencor CRS-1010S Used 1
Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series Used Working 1
Nikon 4S019-059 Control Interface Board PCB IU-CTRL2 NSR Series Used Working 1
Nikon 4S008-261 Power Supply Board PCB IU-PWR2-X4P4 H=40mm NSR Series Used 1
Ultratech Stepper 03-08-00096 Stage Driver Board PCB Used Working 1
Ultratech Stepper 03-08-00090 944 Servo Board Card PCB Lithius Used Working 1
Sony XC-55BB Progressive Camera Module 02D Nikon KBB25350 NSR System Used 3
Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working 1
Jikco COX-B8A Alarm Module PSK-144B Nikon 4S587-740-1 NSR System Used Working 1
Agilent Technologies E1709A Remote High Perbbbbance Receiver Used Working 1
Brooks Automation 148301 Main IV Board PCB 173277 Used Working 3
Brooks Automation 148285 LCD Display IV Board PCB Vision LPM Load Port Spare 3
Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working 1
Tadin TadiGuard Type 006 Computer MRC Eclipse Star 1
Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used 4
Agilent 5517DN07 Interferometer Laser 214uW Nikon 4B991-156-1AN NSR-S204B Used 1
Riken Keiki OS-B11N Oxygen Sensor Nikon NSR-S204B System Used Working 1
Sony XC-73 CCD Video Camera Module Nikon NSR-S204B Step-and-Repeat Used Working 1
KLA-Tencor 2365-UI Marathon G580 1/3HP Motor Fan 1
Marathon Electric DVB-56T17T5305E P G580 1/3HP Motor Fan 1
Cosel P150E-24-N Power Supply P150E-24 Used Working 3
Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working 2
KLA-Tencor 2365 EMO Control Module 710-734976-001 1
Shinko 3CL511A010000 Power Supply Board PCB NBC-C Asyst VHT5-1-1 Used Working 1
Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 Bad Screen 1
Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-1 Used Working 1
Kokusai Electric CX1103 Tube Controller CX-2000 CX1103C-2 Used Working 1
MRC Materials Research 885-24-000 Interface Board PCB Rev. B Eclipse Star Used 1
bbb Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working 1
bbb Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working 3
bbb Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working 8
bbb Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working 4
bbb Tokyo Electron 5085-411385-11 Wafer Spin Chuck Lithius DEV Module Used 9
Sanyo Denki P20B13100FXSA2 Servo Motor bbb 5086-403316-12 Lithius DEV ASSY Used 10
Sanyo Denki P20B13100FXSA2 Servo Motor bbb 5086-403316-12 Lithius DEV ASSY Used 1
Panasonic MFA090HA5NSC Servo Motor Assembly bbb 5086-403306-12 Lithius COT Used 1
bbb Tokyo Electron 5087-400487-15 Solution Valve Cable CKD AMF-V-X1 Lithius Used 9
bbb Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve AMF-V-X1 Incomplete Used 4
PRMS Incorporated 1005001 Macroillumination Lamp & Power Supply Set 1005002 Used 1
bbb Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Used Working 1
Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis Nikon NSR Used 1
QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished 8
AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used 2
Lam Research 719-003481-872-C Ceramic Plate Used Working 1
Lam Research 02-287782-00 PED Assembly Machined Finish Cu Exposed Used Working 1
VAT 02112-AA44-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working 1
AMAT Applied Materials 0041-32713 Shower Head Used Working 1
AMAT Applied Materials 0041-32713 Shower Head Used Working 2
AMAT Applied Materials 0041-32713 Shower Head Used Working 3
Lam Research 02-287782-00 PED Assembly Machined Finish New 1
Haldex Hydraulic Reservoir Tank Pump w/ Dayton Industrial Motor Used Working 1
AION BS910-2 SCL Brush Roller Reseller Lot of 4 New 1
NxEdge LL Arm End Effector Refurbished 1
AMAT Applied Materials 0200-08584 Top Pocketed Cover Used Working 1
VAT 07512-UA24-0002 Slit Valve Used Working 1
Asyst Technologies 860 Vacuum Arm with Arm Controller Board PCB 06764001 Used 1
VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Copper Untested As-Is 1
Asyst Technologies Theta Arm Assembly Hine Design Used Working 1
Genmark Automation GNK Robot Indexer and Chamber 300mm ASM Epsilon 3200 As-Is 2
SGI Silicon Graphics 030-1241-002 SE Graphics Board PCB Card Rev. H Used Working 1
SGI Silicon Graphics 030-1241-002 SE Graphics Board PCB Card Rev. E Used Working 1
Novellus Systems R02-351245-00 Clamshell Drive DP APC Ready Refurbished 1
ASM Advanced Semiconductor Materials 1025-446-01 Interface Board PCB TYPE4 Used 1
ASM Advanced Semiconductor Materials 70065-00044A Motor SPGR PCB Card Used 18
ASM Advanced Semiconductor Materials 70065-00037C Motor DIO PCB Card Used 6
JAE Japan Aviation Electronics UT3-06NV1RR1DSS14-A PCB ND1066-3801-001 Used 1
Mitsui Chemicals AMKS-AJ7A Pellicle Photomask Dust Free Membrane New Surplus 2
Mitsui Chemicals A6FKU-AJ7A Pellicle Photomask Membrane New Surplus 1
DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-01270 DIP-340-013 Used 2
NSK E043ZZIF1-001 XIF Board PCB E010ZZIF1-001-2 bbb Tokyo Electron Sensors Used 1
Applied Precision 21-000319-002 I/O Interface Board PCB 20-000319-000 Used 1
Taiyo Machinery 0038 12" Wafer Map Sensor Unit with Omron E3C-T1A Used Working 1
ASM Advanced Semiconductor Materials 1008-148-01 Reactor I/F Type 3 PCB Used 1
Applied Precision 21-000315-001 Sort Switch Board PCB Card Used Working 3
Applied Precision 21-000315-000 Switching Board PCB Card Used Working 2
MKS Instruments AS01396-6-11 CDN396R Board PCB Card AMAT 0190-24116 Used Working 2
Applied Precision 21-000317-001 Processor Interface Board PCB Used Working 1
Applied Precision 21-000315-001 Sort Switch Board PCB Card Rev. 02 Copper Used 2
Applied Precision 21-000315-001 Sort Switch Board PCB Card Rev. C Copper Cu Used 1
AMAT Applied Materials 0100-76269 RF Match Control Board PCB 0110-76269 Used 2
Berkeley 100-000-721-01 Servo Motor MTR.300W BRSH.W/STD ENCOR.R2 Used Working 9
Advantech PCA-6147/6137 SBC Single Board Computer 486/386 CPU Card PCB Used 1
DigiBoard (1P)50000182 ISA Adapter PCB Card 30000352 55000178 Used Working 2
StarTech CI-5010 Twin Serial Port RS232 ISA PC Interface PCB Card Used Working 1
Omron C200PC-ISA13-SRM-E ISA Board PCB Card C200PC-ISA03-1 3376997-5B Used 1
National Instruments PCI-232/485.4CH 4-Channel Serial Interface PCB Card Used 1
Dedicated Computing OEM-A1811R Server PC 61-381415-00 Novellus 61-381415-00 Used 1
bbb Tokyo Electron 877 CRA Cassette Block Robotics Arm ACT12-300mm Used Working 2
SCP Santa Clara Plastics 3270091G Debug MCS Display Used Working 2
Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. A Used 1
Electro-Craft 9101-1302 BRU-200 Brushless Robot Servo Drive DM-20 Rev. C Used 1
Fire Sentry S7-2175 System 7 Control Panel Used Working 2
Parker DC3 Power Supply Open Frame Compumotor Xzel XL-1003 Used Working 6
Omega D1701 Digital bbbbb/Output Transmitter OMEGABUS Reseller Lot of 2 Used 1
SCP Santa Clara Plastics 3270171G MCS Auxiliary Relay Unit 6952164J Used Working 3
Yaskawa Electric SGDA-01APPY126 Servo Drive SERVOPACK JUSP-OP03A Used Working 2
Panasonic CK88YP200V03 Power Unit PCB Card bbb Tokyo Electron ACT12 Used Working 1
Panasonic 581B357C CPU Processor PCB Card bbb Tokyo Electron ACT12 Used Working 1
Panasonic 581B344B Backplane Connector PCB bbb Tokyo Electron ACT12 Used Working 2
Omron SYSMAC C200H Programmable Controller PLC Assembly C200H-CPU02 Used 4
AMAT Applied Materials E15004184 ISA Master Loop PCB Card Varian New Surplus 1
AMAT Applied Materials E15004184 ISA Master Loop PCB Card Varian Damaged New 1
AMAT Applied Materials 0100-01765 I/O Interface Board PCB Reflexion CMP New 1
Sony 1-675-992-12 Laserscale Processor PCB Card DPR-LS21 EP-GW Used Working 1
Nikon 2S013-076 200mm Wafer Prealigner PCB 2S700-600 OPTISTATION 3 Used Working 2
Nikon 2S700-536 Prealigner Optical Sensor Receiver 2S017-141-1 OPTISTATION 3 1
Nikon 200mm Wafer Prealigner Pneumatic Chuck Assembly OPTISTATION 3 Used Working 1
Shinano Kenshi SST39D2010 Step Motor and Gear Assembly Nikon OPTISTATION 3 Used 1
Elo LS15-5S0F Open Frame Touchscreen 15.1" LCD Monitor Used Working 1
Lam Research 02-287781-00 15" Heater Pedestal PED Assembly Novellus Used Working 1
Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working 1
Lam Research 02-287781-00 15" Heater Pedestal PED Assembly Rev. C Novellus Used 1
Edwards Y14204000 TMS Temperature Management System Y14501103-H New Surplus 1
Asyst Technologies 9701-2937-01 RFID Advantag Gateway ATR Rev. C Used Working 1
VAT 12148-PA24-AIG1 Pneumatic Vacuum Gate Valve Series 121 Bend Actuator As-Is 3
Sanyo SRT-7072 72H Real Time Cassette Recorder with VM-6612 Monitor Set New 1
Kokusai Electric ALD Furnace Shutter with Viewport Used Working 1
Kokusai Electric D5CP42062-000 ALD Furnace Shutter Used Working 1
bbb Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished 2
VAT 07512-UA24-0002 Slit Valve No Gate Copper Cu Exposed Used Working 1
Lam Research 233508383 8" Anodized Al Aluminum Cathode New Surplus 34
SPG S9R90MB-ES12 E.S Motor with Siti Gear Head MI 30 A9 Used Working 1
SPG S9R90MB-ES12 E.S Motor with Siti Gear Head MI 30 G9 Used Working 1
AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-20350 As-Is 1
AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-01906 As-Is 1
KLA-Tencor 0251751-000 ASD Phoenix Robot System Control Computer Used Working 2
KLA-Tencor 0148378-000 Robot Interlock Controller Assembly Used Working 2
Kawasaki 50979-2842LA1 Wafer Handling Robot Signal Cable X1M 5 Foot Used Working 1
Kawasaki 50979-2843LA1 Wafer Handling Robot Signal Cable X2M 5 Foot Used 1
Hitachi Kokusai TZBCXL-00088A Cassette Handling Robot TZBCXL Used Working 1
Kawasaki 50979-2874LA0 Wafer Handling Robot Power Cable X3 11 Foot Used Working 1
Kawasaki 0301851-000 Robot Interbbbb with Advantech 8-Port Serial Cable Set Used 1
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V Used Working 12
Hitachi Kokusai TZBCXL-00021A Wafer Cassette Handling Robot 300mm DD-1203V Use 1
Hitachi Kokusai TZBCXL-00022A Wafer Cassette Handling Robot 300mm DD-1203V Used 1
Hitachi Kokusai TZBCXL-00003A Wafer Cassette Handling Robot 300mm DD-1203V Used 1
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Sensors 2
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers 2
Hitachi Kokusai TZBCXL Wafer Cassette Handling Robot 300mm DD-1203V No Covers 3
VAT Series 650 Pendulum Control and Isolation Valve 98800 Used Working 2
VAT 65040-PA52-AWV1 Pendulum Control and Isolation Valve 98800 Used Working 2
VAT 235154 Throttling Pendulum Vacuum Gate Valve 229351 650 Series Used Working 1
VAT 65.0 Series Aluminum Pendulum Gate Valve Body Frame Reseller Lot of 3 Used 1
VAT 98800 Series 65.0 Pendulum Gate Valve Actuator Used Working 2
VAT 88773-R1 650 Series Pendulum Gate Valve Motor Driver Board PCB 88 791 Used 3
bbb Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S Used 1
Hitachi CR-712 Series Wafer Transfer Clean Robot with Cables FEM-312 EFEM Used 1
Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 w/End Effector 0190-08245 Used 1
Hitachi Wafer Alignment Unit with SunX Laser Line Controller FEM-312 EFEM Used 1
Hitachi LP12-II Wafer Load Port Assembly FEM-312 EFEM No Sensor Used Working 1
Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM Used Working 1
Hitachi LP12-II Wafer Load Port Station FEM-312 EFEM Used Working 1
Brooks Automation 013089-132-20 Load Port FIXLOAD V6 Untested Damaged As-Is 1
VAT 650PM-24CH-AEU2 Adaptive Pressure Controller PM-6 bbb 3D80-001597-V2 Used 2
Sanyo Denki 103F7851-80HXL4 Stepping Motor StepSyn Actuator RH-14-50-CC-SP Used 5
bbb Tokyo Electron 844 LHP Low Temperature Hot Plate ACT12 Incomplete As-Is 1
3Com 1675-510-000-1.00 Office Connect 5-Port Dual Speed Hub Lot of 16 Used 1
Minicom 1SU52023 Phantom MXII KVM Switch Reseller Lot of 16 Used Working 1
Hitachi ECI Microwave Auto Tuner Box Assembly CMC-10A CMC-ADP2 FEM-312 EFEM Used 1
Hitachi HT98312 Interface Connector Board PCB BD12 Used Working 2
Hitachi HT98822 Interface Connector Board PCB BD14 Used Working 1
Hitachi HT98313 Power Relay Board PCB BD13 Used Working 1
Electroglas 254921-001 Prealign Module Interface Amp Board PCB 254920-001 Used 1
VAT 650PM-24CH-AEU3 Adaptive Pressure Controller PM-6 bbb 3D80-001597-V3 Used 2
ASM Advanced Semiconductor Materials 2902044-01 Monitor Assembly Used Working 1
Lam Research S31A-CB-006-Q Ceramic Isolation Ring 0.0mm BEOL Used Working 1
Edwards A50588000 XDS Vacuum System Exhaust Silencer Housing NW40 Refurbished 4
Edwards A50588000 XDS Vacuum System Exhaust Silencer Housing NW40 Refurbished 1
Edwards 90 Degree Vacuum Elbow Stainless Steel Pipe NW25 Lot of 3 Refurbished 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Refurbished 1
Edwards C31315000 Manual Isolation Vacuum Valve PV25MKS ST/ST Refurbished 5
Edwards C10517431 Reducing T-Piece High Vacuum Tube Tee NW50 NW25 Refurbished 3
Edwards C10516294 Braided Flexible Pipeline Bellows 5.3" NW40 Refurbished 7
Edwards NW25 Exhaust Check Valve for Dry Pump Vacuum Systems Refurbished 2
Edwards NW25 Exhaust Check Valve for Dry Pump Vacuum Systems Refurbished 3
Edwards 8.5" Reducing T-Piece High Vacuum Tube Tee NW50 NW25 Refurbished 1
AMAT Applied Materials 0100-90967 Beamline Instrumentation Backplane PCB XR80 1
AMAT Applied Materials 9090-00033 Power Supply Assembly XR80 Artesyn ‎NLP65 Used 1
AMAT Applied Materials 0090-91640 Power Supply Assembly XR80 ‎WSR45UM44-C Used 1
ASM Advanced Semiconductor Materials 1082-728-01 Heater 300 High Unibbbb Used 1
Lam Research 02-112622-00 Index Transfer Plate Novellus 15-055437-00 Used 1
Sanyo Denki PM-UPD1S06-20 PM Driver D5-1-40174-1 bbb Tokyo Electron ACT12 Used 5
Futurestar 5A0-090-8F-67 Paddle Wheel Flow Meter Kit Banner Q23SN6FPY New 1
Crouzet Pneumatic Components Lot of 14 722-851 81519 722-873 722-889 723-006 New 1
AMAT Applied Materials 0195-01642 LCWE Pneumatics Box Reflexion CMP Damaged New 1
Vicor 20-130156-01 Switching Power Supply Module MegaPAC L15V/5A Used Working 4
Vicor 20-130056-05 Switching Power Supply Module MegaPAC QPAC-200 L15V/5AW Used 4
Vicor 20-130056-10 Switching Power Supply Module MegaPAC L5.1V/19.6ADL Used 1
Vicor 20-130056-04 Switching Power Supply Module MegaPAC L5.1V/19.6AWDL Used 1
Vicor 20-130156-01 Switching Power Supply Module MegaPAC L12V/8.3ADL Used 1
Vicor 20-130156-05 Switching Power Supply Module MegaPAC L12V/8.3AWDL Used 1
Vicor 20-130056-10 Switching Power Supply Module MegaPAC QPAC-200 L5V/20A Used 1
Vicor 20-130056-04 Switching Power Supply Module MegaPAC QPAC-200 L5V/20AW Used 1
Vicor 20-011106-01 3 Phase E/D Board PCB 24-011106-01 Used Working 2
Vicor 20-011108-01 3 Phase Driver Board PCB 24-011108-01 36-00016 Used Working 2
Vicor 20-011101 AC Line Filter Board PCB 24-011108-01 Used Working 2
Vicor 20-130065   Board PCB Backplane 24-130065 Used Working 2
Honeywell 092306 300mm 5N Ti Titanium Target 20.625X17.478X1.000X0.500IN Used 1
ASM 73008-70523 Susceptor Pedestal Heater 73050-70274 ASSY/HEATER-H12LH Used 1
Kokusai Electric D5CP42062-000 ALD Furnace Shutter Stained Used Working 1
VAT Series 65.0 Pendulum Control and Isolation Valve 98800 Copper Exposed Used 1
Power-One RPM5E5E5E5E5KS379 Power Supply 4000W Teradyne 405-236-00 A Used 3
VAT Series 65.0 Pendulum Control DN 100 4" Valve Parts Reseller Lot of 12 Used 1
Power-One BD65124B Power Supply Plug Converter Board PCB BD65126B Lot of 9 Used 1
bbb Tokyo Electron SH5M015T1 Photoresist Filter Assembly TMD002-X8 ACT12 Used 16
bbb Tokyo Electron F-T100-3 Photoresist Pump ACT12 Used Working 4
Omron EE-SPX613 Photoelectric Sensor Reseller Lot of 16 Used Working 1
Agilent Technologies E1709A Remote High Perbbbbance Receiver Reseller Lot of 2 8
bbb Tokyo Electron Wafer Tray Loader Assembly P-8 200mm Wafer Prober Used 1
bbb Tokyo Electron Wafer Stage Assembly 200mm P-8 Automatic Prober Used As-Is 1
bbb Tokyo Electron PHP Pneumatic Control Panel ACT12-300 300mm Used Working 1
bbb Tokyo Electron 3281-000048-12 HC Interconnect 2 PCB 3208-000048-12 P-8 Used 1
bbb Tokyo Electron 5085-404315-17 LD Bath Assembly DEV ASSY Lithius Used Working 9
bbb Tokyo Electron 845 CPL Chill Plate Process Station ACT12-300 Used Working 3
bbb Tokyo Electron CPL Chill Plate Process Station ACT12-300 SOG SOD C4 Used 1
Sinfonia Technology SDD-W-30D750W-2-1 AC Servo Drive Asyst Shinko VHT5-1-1 Used 2
Sinfonia Technology SDD-W-30D750W-2-1 AC Servo Drive Asyst Shinko VHT5-1-1 Used 2
Shinko Electric E4305502406 AC Servo Driver BD-02-B Asyst Shinko VHT5-1-1 Used 1
Shinko Electric E4305502406 AC Servo Driver BD-02-B Asyst Shinko VHT5-1-1 Used 1
Sunx Sensors PX-22 AS Obstacle Detection Sensor Lot of 4 Shinko VHT5-1-1 Used 2
Sunx Sensors PX-22 AS Obstacle Detection Sensor Lot of 4 Shinko VHT5-1-1 Used 4
Hokuyo Automatic PB9-07B-S Optical Transmission RPB9022 Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic PB9-07B-S Optical Transmission RPB9022 Shinko VHT5-1-1 OHV Used 4
Yaskawa SGDF-A3CS Servo Drive SERVOPACK Reseller Lot of 2 Shinko VHT5-1-1 Used 2
Yaskawa SGDF-A3CS Servo Drive SERVOPACK Reseller Lot of 2 Shinko VHT5-1-1 Used 4
Mitsubishi MR-C20A AC Servo Driver MELSERVO Asyst Shinko VHT5-1-1 OHV Used 2
Mitsubishi MR-C20A AC Servo Driver MELSERVO Asyst Shinko VHT5-1-1 OHV Used 4
MRC Materials Research A115105 KBD Remote Assembly Eclipse Star Used Working 1
Hokuyo Automatic PB9-10-Z-S Optical Transmission RPB9023 Shinko VHT5-1-1 Used 4
bbb Tokyo Electron CPHP General Chamber CPHG Type RKC REX-B874-CS2A Lithius Used 2
bbb Tokyo Electron CPHP General Chamber CPHG RKC REX-B874-CS2A Lithius As-Is 3
Keyence BL-185 Ultra Small CCD Barcode Reader Reseller Lot of 2 Used Working 2
Yamatake FE7C-TWC6R Photoelectric Sensor Reseller Lot of 4 Used Working 1
Hokuyo Automatic DMS-HB1-V Optical Transmission Asyst Shinko VHT5-1-1 OHV Used 1
Hokuyo Automatic DMS-HB1-V Optical Transmission Asyst Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used 2
Hokuyo Automatic BRC-G2BRS Optical Transmission Device Shinko VHT5-1-1 OHV Used 1
Mitsubishi HC-PQ23BG2K AC Servo Motor BK2-09B-02MEKAK1 Shinko VHT5-1-1 OHV Used 3
Yaskawa Electric SGMM-A3C3SD11 AC Servo Motor Lot of 2 Shinko VHT5-1-1 OHV Used 4
Maxon 221134 A-max Motor Gear Head GP026A037-0010BA00A 4601 Shinko VHT5-1-1 Used 4
bbb Tokyo Electron 3D86-003060-V1 RF Cable 70 Foot 21 Meters Used Working 3
bbb Tokyo Electron 3D86-002829-V1 RF Cable 70 Foot 21 Meters Copper Exposed Used 3
Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Used 3
Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Used 3
Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used Working 1
品牌其他
型号LAMRESEARCH853-800087-4
加工定制
厦门翔麟奕科技有限公司
  • 公司类型私营独资企业
  • 经营模式经销商-私营独资企业
  • 联系人叶先生
  • 联系手机15260226267
  • 联系固话0592-15260226267
  • 公司地址厦门市翔安区民安街道莲亭路837号39楼702
主营业务
西门子低压 施耐德 施迈赛 PILZ 皮尔兹 DOLD
工程和技术研究和试验发展;软件开发;电气设备销售;电子元器件批发;机械零件、零部件销售;五金产品批发;五金产品零售;技术进出口;货物进出口;进出口代理;国内贸易代理;销售代理;软件销售;信息安全设备销售;计算机软硬件及辅助设备批发;互联网设备销售;物联网设备销售。
叶先生 电话咨询 立即询价