返回 产品详情
KLA-Tencor 288845 Power
来自厦门翔麟奕科技有限公司
¥5.00
发布时间  2024-06-15 14:26:02 关注次数  30
厦门翔麟奕科技有限公司
家家通 3年
图文介绍 产品参数 供应商信息
 

 li

R79177 KLA Tencor SI Condensor Lens Control

KLA-Tencor Scintillator W/ Case 780-08986-000 Untested

KLA-Tencor 2365-UI PSB1600MBX PCB 0062642-002 Working

KLA-Tencor 2365 Galil Motion Control Assy. DMC-2143

KLA Tencor IDE Hard Disk Floppy Controller 328065 A

Crystal Tech. KLA-Tencor Acousto-Optic Deflector 4109-3

KLA-TENCOR SCINTILLATOR, 1700 107-009

KLA TENCOR SURFSCAN 7700 WAFER INSPECTION SYSTEM

KLA-Tencor AIT 1 NEAT Stage & Controller 300mm working

KLA-Tencor CRS1010 Vibration Isolator Set working

KLA-Tencor JDS Uniphase Laser 2213-75TSLKTB Untested

KLA-Tencor SLX UCO, COL Assembly 760-333305-000 Used

KLA-Tencor CRS 3000 300MM COMPLETE SYSTEM

Brand New 8U Rackmount 12 Bay Storage – KLA Tencor

KLA Tencor Ultrapointe CRS 3000 PMT Preamp 000276 RevA

Trenton KLA-Tencor AIT 2 Single Board CPU 92-005721-XXX

KLA-Tencor MGF2 Analyzer Assembly 0045755-000

KLA-Tencor 200mm Finger Fork 0000824-002 Untested

KLA-Tencor 2135 to 2138 Upgrade Kit 780-696341-000

KLA-Tencor 2365-UI Sensor PCB 0062204-000 working

KLA-Tencor Computer Station U00874 working

KLA-Tencor AIT 2 AOD GPIO Assy. 0017499-000 working

KLA-Tencor AIT 2 Lens Assy. 003081-A Newport P100-P

KLA-Tencor 29-0259 Tropel 1X Lens Untested

VA Inc. KLA-Tencor 2365 Shutter Driver D880 working

KLA-Tencor Prometrix RS35

Olympus KLA-Tencor AIT 2 Microscope Turret U-D5BDREM

KLA-Tencor 0027106-002 Dolan-Jenner Fiber-Lite A241

KLA-Tencor KLA 2365-UI Z Axis PCB Assy 3900047D Working

KLA-Tencor Mark II Right Top Plate 740-680567-000 New

KLA-Tencor Newport AIT2 Air Slit Assembly 393215 New

KLA-Tencor HRP340 M3-Etch 456357 Etch Assembly Working

KLA-Tencor HRP-340 Controller 0020839-000 working

KLA-Tencor AIT 2 Upper Opto-Mech Assy. 0024755-000

KLA-Tencor 2365 Sensor Board 0062204-001 working

KLA-Tencor bbbbbbive Mount 760-321062-001Untested

KLA-Tencor Retrofit Optics Beamsplitter 0099602-001 New

NEAT 330UP Motion Controller KLA-Tencor CRS1010

KLA TENCOR 2131 WAFER DEFECT INSPECTION SYSTEM

KLA-Tencor CRS1010 BF/DF Control PCB 000675 working

KLA-Tencor Nikon Box Door Opener 750-059211-001 Used

Tropel KLA-Tencor AIT 2 Scan Lens working

KLA-Tencor Cobra Shipping Filter Assy 0107339-000 Used

KLA-Tencor HRP-340 Interface Board 03-185614-02 working

KLA-Tencor 2138 Motorized 200mm Retrofit 780-666008-000

KLA-Tencor 2135 Vertical Illuminator 760-663761-001 new

KLA-Tencor AIT/UV Laser Servo Detector 0099572-000 New

KLA-Tencor KLA 2365-UI Pneumatic Lens Assembly PFG 2/7

Brand New 8U Rackmount 12 Bay Storage – KLA Tencor

KLA-Tencor AIT1 Olympus Microscope Assembly Working

KLA-Tencor 2139 Sony DXC-9000 Camera 740-772299-000 Kit

KLA-Tencor 2139 Software v5.3.49 Standard 0027325-000

AMAT 0240-00878, 0020-04527, PIKGENEVA DRIVE 8300, Kit, Assembly. 329025

AMAT 0240-00884, AMAT 0190-00209 RF Match assy, Advanced Energy AZX90

New AMAT 0240-00888 PIK, 8300 Software Upgrade, Pentode

AMAT 0240-03510 KIT, 2 LEDs FOR SMIF FABS, 410890

AMAT 0240-03510 KIT, 2 LEDS FOR SMIF FABS, 411033

AMAT 0240-03510, Kit, 2 Leds for SMIF fabs, 413958

AMAT 0240-03881 KIT mounting chiller lines POS2, New

AMAT 0240-05308, Kit, DC Bias, W/ Modified Flex Conduct. 416674

AMAT 0240-05308, Kit, DC Bias, W/ Modified Flex Conduct, 20119, 04354, 395543

AMAT 0240-05936 Kit Spares Level One 3 Month PM Basic, 422841

NEW AMAT 0240-07143 SMIF POD INTERLOCK RETROFIT

AMAT 0240-07143 SMIF Pod Interlock Retrofit, 0760-00006, 0250-05557

AMAT 0240-07367 Retrofit Kit, Roller & Shaft, Belt tensi

NEW AMAT 0240-07468 Wafer Vacuum Wand, Handling, tip, hoses, vacuum trap

2 AMAT 0240-09009 C.H. Top 100m

AMAT 0240-09011, C.H. Top 150m, 0020-09777, SMC 10-CDJ1B15

AMAT 0240-10425, 300MM Peek Finger Retrofit Kit Walking Beam, 0040-42315

AMAT 0240-11067 Gate Valve, Refurb Kit Bellow, O Ring, 3070-01009

AMAT 0240-11209 Kit, Pod Retrofits 200 & 300, IECP 401892

NEW AMAT 0240-11655, KIT, MFC 100 SCCM range, .01% O2/Ar Gas Centura, Stec SEC-4400

AMAT 0240-12659, Kit, Viewport Shade RTP Chamber, 0200-01079, 0200-10679

AMAT 0240-13278 Kit, Pressure SW Ver.4, TEOS, 0150-09616, 0150-09276. 419086

AMAT 0240-13278, Pressure Switch, Kit, VER 4 TEOS, 0150-10045. 328914

AMAT 0240-13278, Pressure Switch, Kit, VER 4 TEOS, 0150-10045. 328915

AMAT 0240-13295 Endura Degas Chamber PVD, Sputter, Gate Valve Flange

AMAT 0240-13367, Assembly, Door Sensor, Cassette Present. Farmon I.D. 413785

AMAT 0240-14172 Assy, Gas Box, WXZ, 0020-10876, 0020-62142, 0040-09050, 401414

AMAT 0240-14889 Kit, Outrigger Leveling Tools, 3 AMAT 0040-459333

AMAT 0240-15189 Kit, Retrofit, Nova Push PIN, 417815

AMAT 0240-17407 UPGRADE KIT, SP (SLURRY) PUMP 200MM

AMAT 0240-17542 Kit, 300mm XTE SIP EN Core Tan Hoop LCM, 0200-19973, 422965

kit, Cleancup, REFLEXION

AMAT 0240-20229 BASIC WALL CLAMP KIT, 9 0020-21007 BLOCK, WALL CLAMP 401597

AMAT 0240-20551 List 8" Shield, Kit, 0020-23041, 3690-01903, 3880-01023, 422407

AMAT 0240-20551, List 8" Shield kit. 398309

AMAT 0240-20551 List 8" Shield, Kit, 0020-23041, 3690-01903, 3880-01023, 415660

AMAT 0240-22345 Kit, Vent Line, PCII, Cham C, Pre clean, 0050-20845

AMAT 0240-22500 UPS Transbbbber Assembly, ACME TA-2-81143, Cutler-Hammer

NEW AMAT 0240-22615 KIT EMO SHUNT TRIP STANDARD, AMAT 1200-01147, 1230-01119

AMAT 0240-23498 Pump Kit, Pneumatics/Gauges, 3850-01028, 0150-20574, 329898

AMAT 0240-24030 Kit, Pneumatic RGA Valve PVD CH1-4 Poly 414699

NEW AMAT 0240-24711 SHUTTER SENSOR RETROFIT KIT, ENCLOSURE, SOFTWARE and INSTRUCTIONS

AMAT 0240-25480 Kit, ADV 101 Rest BTNS-FULL CVRG Ped, 0020-29640, 420999

AMAT 0240-26253, Kit RF GEN LOTO @ Chamber. 418577

AMAT 0240-26390 Kit, Gamma 2 W/B Chamber Extender, 194mm, 0040-23486, 423350

AMAT 0240-26787 KIT, RETROFIT CHAMBER SECOND QUICK-RELEASE PIN 3350-01096 401441

11 new AMAT 0240-27291 kit, HW for dura TTN adapter

AMAT 0240-27453 Cool Down Chamber, Endura  PVD Sputter, 

AMAT 0240-27453 Endura AMAT 0020-24273 Cool Down Chamber, PVD Sputter, 

NEW AMAT 0240-27870 kit gasline clamps, 0190-20130, 0250-76491

AMAT 0240-28445 Kit, Heat Shield 200MM ESC, Gamma II, 0021-22531, 0021-22532

AMAT 0240-30096 Kit Blankoff TC Gauge, 4000-01018, 4000-01029, 3690-01271

AMAT 0240-30308 KIT RETROFIT DUAL WF6 BYPASS

AMAT 0240-30468, Etch Vacuum Manifold Kit, 3870-01245, 0050-10167. 329217

AMAT 0240-30572, Shipping Kit, H20, VDSII. 419860

AMAT 0240-30642, HEWEB OR WEB LABELING KIT. 415240

AMAT 0240-31376 Kit, Basic Universal Roughing Line 

AMAT 0240-31726 USG PLIS Pallet w/ Valves and Injectors, Weldment, CH, 420017

PIK, ORING VITON, DSGD, MxP, LID AND GAS BYPASS

AMAT 0240-33434  KIT oring pumpstack 5000/5000XT

AMAT 0240-35314, Kit, EPI or Poly Delivery Per Chamber PDC. 417639

AMAT 0240-36763 Viton SSGD, Diff Pump, R2, O-ring Kit 402089

AMAT 0240-36763 Kit, O-ring, Viton, SSGD, Diff Pump, R2 Chamber Geco 402386

AMAT 0240-39488, Kit, One MFC Group Manifold W/O Pump. 418311

AMAT 0240-40778, Blank - OFF Kit, 10.3"x.8"x.1" Panel, W/ Grey P. 418070

AMAT 0240-40778, Blank - OFF Kit, 10.3"x.8"x.1" Panel, W/ Grey P. 418071

AMAT 0240-42755 Kit, Dedicated PC turbo rough line

AMAT 0240-42863 KIT, NARROW BODY LL, NO DEDICATED ROUGH

NEW AMAT 0240-52481 NON-SEISMIC SECUREMENT KIT FOR 300MM 5.X FI, 3480-00317

2 AMAT 0240-53537 Kit, RF Feedthrough, RFG, 200mm producer

AMAT 0240-60063 100MM LEXAN KIT 0030-60013, 0030-60016, 0030-60020, 0030-60024

AMAT 0240-60064 O-Ring Kit 8300, 0030-00200

AMAT 0240-60064 KIT 8300 O-Ring

AMAT 0240-75090, Spares, Endura, HP Robot Assembly W/ CNTNR. 417016

AMAT 0240-76688, Bracket Hardware Kit. 415329

AMAT 0240-76688, Bracket Hardware Kit. 415330

Keyswitch option, ISRM

Centura Polycide C/R manual set

Kit, Temp cal rotation lock, with Lock, 0240-89452 and mounting screw

AMAT 0240-89075 Kit temp Cal Rotation Lock

AMAT 0240-90280, Kit, MRS Shields, Standoff Fix, 0020-99536, 0020-99537

Assy, moving clip 200/7

AMAT 0240-90382, Assembly, Moving Clip 200/7, Not a Kit. 415355

AMAT 0240-91148 Kit Gripper Finger 100

AMAT 0240-91510 KITS, PIKS, IMPLANT C/bbbb ASSY 0140-90222 0140-90223 401317

new AMAT 0240-91958 KIT, R FIT, Argon drier

new AMAT 0240-92075 gas panel tool kit

AMAT 0240-92443 KIT SPARES SENSOR

Kit, CGA pigtail

AMAT 0240-92689 ZA kit, retro volt dropout AE STK

 125mm Heat sink insert.  0 deg

Retrofit Kit, 125mm Heat sink insert. includes 0240-92741 heat sink insert 0 deg

AMAT 0240-93339 upgrade shoe pickup 100mm

Kit, moving clip, 200, 0deg special

AMAT 0240-94276, Kit Wheet 150-DEG (F/CLP+INS), 0040-94705, 0240-94794. 415417

AMAT 0240-95004 cable source SUPR' CLAMPING

AMAT 0240-95275, Kit, Fujikin Valve, M/Switch Con. 413978

AMAT 0240-99280 Kit, MRS Shields, Stand Off Fix, 0241-99280, 0020-99583

AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415184

AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415188

AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415288

AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415294

AMAT 0240-99280ITL Kit, MRS shields, Stand off fix

AMAT 0240-99280ITL Kit, MRS Shields, Standoff Fix 

AMAT 0240-99280ITL Kit, MRS Shields, Standoff Fix, 0241-99280, 0020-99536

AMAT 0240-99280ITL Kit, MRS Shields, Standoff Fix, 401325

 ION GAGUE AND CABLE, RETROFIT KIT

AMAT 0242-00051, WXZ Centura-Wet CL, 0020-10886, 3700-01887 Oring ID 13.820

AMAT 0242-00051 WXZ CENTURA-WET CL, ORING 3700-01887  ID 13.82, 0020-32060

AMAT 0242-00188 AF/I+, Proc, Simcat, 200, FLT SI/QTZ, Self 0200-10448 0200-39348

AMAT 0242-00206 P1, WXZ P500 - Wet Clean **9GAC2512** CC, Kit. 329174

AMAT 0242-00206, Kit, WXZ PG5000-Wet Clean, 9GAC2. 414029

AMAT 0242-00206 WXZ P5000 - Wet Clean Kit, 417628

AMAT 0242-00206 WXZ P5000 - WET CLEAN

AMAT 0242-00532, 0040-01066, 0040-01097, 0400-01098 DC WAFER ON BLADE SENSOR

AMAT 0242-00532 DC Wafer on Blade Sensor bbbbb Module Kit 417258

AMAT 0242-07688 Kit, Chamber A, Integration Lines, ACAP, 3870-01768, 0226-44725

AMAT 0242-09749 PIK, Kit, RF Switch E-DTCU, Etch, 0040-03947, 0090-00073

AMAT 0242-09749, PIK, RF Switch, E-DTCU, 0090-00073 RF Connector Interlock

Kit, Ergo arm with flat panel and keyboard. Includes, 0010-07030 Keyboard assy, 0980-00003 Keyboard 83 keys with trackball. 0090-01226 Adapter, EVC female to female. 3480-01338 Mount flat panel/keyboard, arms rotate 75MM. 3480-01339 12" flat panel   extension arm/ 0190-02634 15" flat panel display. 0190-03218 EVC female to PC adapter. and screws

AMAT 0242-12659 Kit, Viewport Shade RTP Chamber

AMAT 0242-13822, MINCO HR12685, 8847, BDEC Lid, Top, Basic, P5000. 420047

Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO

AMAT 0242-15051 Kit, Press Equalization, 200MM Producer, 0040-41959, 0040-41960

AMAT 0242-15051 Kit, Press Equalization, 200MM Producer 

AMAT 0242-15804 Kit, No Gas Leak Detector, 0820-00011, 0820-00010, 401220

AMAT 0242-23671 KIT, DPS-11 Foreline, Heated

2 NEW AMAT 0242-23671 KIT, DPS-11 Foreline, Heated

AMAT 0242-24101, PIK, NON RF Capable LID, 200mm PMD, PRODU. 419218

AMAT 0242-24101, PIK, NON RF Capable LID, 200mm PMD, PRODU. 419219

AMAT 0242-26154 Kit, IVL Heater Jacket, Upper Zone 1, Chamber A, 200 Producer Split

AMAT 0242-26796 Kit, Fixed Lift Pin, Producer SE 

AMAT 0242-26796, Kit, Fixed Lift Pin, Producer SE. 418333

AMAT 0242-34784 Kit, bbbbb Lid, Endura2, 300MM CVD, 0020-64042, 0021-37297 

AMAT 0242-35718 Kit, PID Controller, 0010-35511, 05-C0593, 329834

NEW AMAT 0242-36211 KIT, CROSS BARS SLD ENCLOSURE WITH VALVES

AMAT 0242-36513 Kit, Retro Ball Valve Chamber B Exhaust, 0242-36581, 419237

AMAT 0242-36940, Retrofit Cooling Fan Kit, DPA Centura. 415885

AMAT 0242-36940 Kit Retrofit Cooling Fan DPACENTURA

AMAT 0242-36940 Kit Retrofit Cooling Fan DPACentura 414660

AMAT 0242-37875 Kit, Retrofit, Bead, SHLD Ferrite, DPS

AMAT 0242-38216, IS/AF/I+, Body, Viton O-Ring, Sup, Kit. 329211, 329212, 329213, 329214

AMAT 0242-39925 Wall TC Kit, 0190-09740 Wall TC Surface 

SAFETY RETROFIT KIT

AMAT 0242-75378 Kit, Common Centura Per System TTF Connection, Mainframe Misc

AMAT 0242-76101 Kit Relief Valve Adapter, CTI 8080250K008, 423352

AMAT 0242-76173 KIT SEMIANNUAL PREVENTIVE MAINTENANCE PVD ORING 401886

AMAT 0242-76270, 0050-76537, 0050-76561, Centura Gas line kit Ch. B, Pos 3

AMAT 0242-76367 Kit, Retrofit, Bolt Down Lid, Chamber, 0020-09375, 0250-09184

AMAT 0242-76367, Kit, Retrofit, Bolt Down, Lid, Chamber. 328918

AMAT 0242-76387 Kit, Retrofit, Plastic Bearings, 419230

AMAT 0242-76727 Kit, Special Handler, Cassette Platbbbb 0020-76597 

2 AMAT 0242-76912 KIT, CB, PVD CH. SYSTEM AC 300MM

AMAT 0242-85846 Kit, Stacking Rack, Neslab Brackets & Hardware, 0250-37860

AMAT 0242-86157 I+ Body, W/Screw Down Lid, Sup, 50/XT

AMAT 0242-86157 I+ Body, w/Screw Down Lid, SUP, 50/XT, Kit, 329884

AMAT 0242-87930 5 PH to 5 PH Plus Retrofit Kit WXZ, 0040-39698 5 PH DRVR Bracket

AMAT 0246-00108 STYLUS PEN/ WRIST BAND WITH CLAMP

AMAT 0250-20000 Cup, Outer, Feedthru, IMP, 422256

AMAT 0250-76599 Installation Procedure, OTF Centerfinder 417317

AMAT 0250-76600 CALIBRATION PROCEDURE, OTF CENTERFINDER

AMAT 0260-76091 TEST PROCEDURE, OTF CENTERFINDER 

AMAT 0270-00138 Gauge, Wafer Plane 401960

AMAT 0270-01316 stand, cell build, 300 mm dual cell IECP

AMAT 0270-09269 Alignment Fixture Handling

AMAT 0270-09269 Rev. A, MEI 2177, Alignment Fixture. 419904

AMAT 0270-20016 Wrench Bellows Lift, 420383

AMAT 0270-20016 Wrench Bellows Lift, 419833

AMAT 0270-70008 Align Fixture Wafer Handler, 421057

AMAT 0270-70008 Align Fixture Wafer Handler, 423096

AMAT 0270-70008 Rev. C, 119423-196, Align Fixture Wafer Handler. 417162

AMAT 0270-70043 Alignment fixture

AMAT 0270-70056 Robot Cal Tool 1/in SHIM PLATE, 415700

2 AMAT 0270-76140 HTHU Heater CAL Tool Restrictor, 329082

AMAT 02-81910-00 LOADER BLADE OPTICS ASSY OPTICAL HARNESS CABLE Farmon ID 406106 

AMAT 0290-01016 Ozone Generator, Onoda OR-4ZA OzoneRex, 92B19-11, 415835

AMAT 0290-20003 Wafer Orientor Chamber Assy

AMAT 0290-20003 Wafer Orientor Chamber Assy w/ Rotary Feedthru Switch+View Ports

AMAT 0290-20003 De Gas Lamp Module Housing, Orienter, UD, No Lamps

AMAT 0290-20003 Wafer Orienter Assembly Lift, Hoop, 0100-20020 PCB,

AMAT 0299-36691 DPS Chamber, Ring, Single, Low Profile 150MM SMF, Quartz, 415230

AMAT 0300-0001 #0.1 U6 Rev.C. Assembly, PCB. Farmon I.D. 412307

AMAT 0300-00028 EPROM Set, 8310, Rev N, Farmon ID 412364

20 AMAT 0300-00030 System Software, 8300, Rev P, EPROM, 418603

20 AMAT 0300-00030,System Software, 8300, EPROM. 419167

AMAT 0300-00047 System Software, 8300, REV R.0.2, 418791

AMAT 0300-00047 System Software, 8300, REV R.0.2, 417868

AMAT 0300-00047 System Software, 8300, REV R.0.2, 417869

AMAT 0300-00047 Rev. R.0.2, System Software, 8300. 417914

AMAT 0300-00047, S4U1, C00000L, System Software, 8300, Rev.R.0.2. 418676

Ultratech Stepper 03-15-00308,Transition ASH Stepper WAS PCB,13-15-00200. 415906

Ultratech Stepper 03-15-00308,Transition ASH Stepper WAS PCB,13-15-00200. 415907

AMAT 0320-01050 Reservoir, Oil-Filled, Compact Q85-717-A, 417521

AMAT 03-70056-00, 06 70056 00 Rev.E, PCB, Universal Address. Farmon I.D. 412327

AMAT 03-70056-00, 64-70056-00 Rev.E, PCB, Universal Address. Farmon I.D. 412328

AMAT D/A Digital Analog Converter, PCB, 03-72526-00, 5400-D-0033, 672528, 422922

AMAT 03-72528-00 PCB, D/A Converter 412300

AMAT 03-72528-00 PCB, D/A Converter 412302

AMAT 03-72532-00, PCB, Ram, Board. Farmon I.D. 412304

AMAT 03-72532-00, Ram Memory, PCB. Farmon I.D. 412305

AMAT 03-72534-00, Battery PCB Rev.F, Versacontroller Battery BD, 32/00. Farmon I.D. 412329

AMAT 03-78667-00 W PCB, DI 412374

AMAT 03-78669-00 W PCB DO

AMAT 03-78669-00 W PCB DO 412321

AMAT 03-78669-00, 06-78669-00, PCB, Farmon ID 412312

AMAT 03-78669-00 W PCB DO 412322

AMAT 03-78667-00 W PCB, DI 412375

AMAT 0380-01962 CB Therm SGL Pole 20A PB 50VDC

AMAT 03-81804-00 Relay Isolation PCB, FAB NO 64-81804-00, Farmon ID 412039

AMAT 03-81804-00 Relay Isolation PCB, FAB NO 64-81804-00, Farmon ID 412040

AMAT 03-81804-00 Relay Isolation PCB, FAB NO 64-81804-00, Farmon ID 412041

AMAT 03-81830-00, PCB, Prom Promless. Farmon I.D. 412333

AMAT 03-81830-00, PCB, Prom Promless. Farmon I.D. 412334

AMAT 0400-81155 DPS Chamber liner, Tetra, Photomask

83 AMAT 0440-01042 Lens PB Cap RND Wht Incadescent TW-SER

AMAT 0500-00166 Fukunishi Electrical Co. Ltd. VME Controller RAE-01663

2 NEW AMAT 0500-01129 MAIN CENTER ASSY

AMAT 0500-01129 Main Center Assembly, PCB Board, NOVA 210-40572-01, 323576

AMAT 0500-01129 main center ASSY

AMAT 0500-01129 Main Center Assembly, PCB Board, NOVA 210-40572-01, 397277

9 new AMAT 0500-01138 assy. flow sensor W/Protector, 1/4F, 12-8885-245

AMAT 0500-50028 X Axis Controller unit CURT - CC0018 FABS with Accessory Kit

12 AMAT 0520-01047 ACTR, Magnetic, bbbbbbbb

AMAT 0520-A0470 Motor, w/brex Z PI, 

AMAT 0530-01001, Sonalert Replaces 979002, SC628. 419417AMAT Quantum Leap II Process Control Rack

Applied Materials QUANTUM LEAP II PROCESS MODULE 

Applied Materials QUANTUM LEAP II Beam Line 

Kokusai Vertron 3 VDF LPCVD Diffusion furnace 200mm

Varian E1000 Mainframe End Station

KLA 2131 Defect Wafer Inspection System Working

Materials Research Corporation Eclipse Star Parts Tool

Plasma-Therm Industrial Products Core Interface

Novellus Concept Two II Altus Debbbbbbbb Tool DLCM

AMAT XR80 300mm Ion Implanter Process Rack 9090-00668

LAM Research AC Distribution Unit 685-029442-140 New

Hitachi S-9300 CD SEM Tool 300mm Complete

CFM Water Purification System Full Flow 4/97 Astex 

AMAT Centura RTP 300mm Chamber Tool

AMAT Centura RTP Chamber Tool 300mm

LAM OnTrak DSS-200 Wafer Scrubber System Working

LAM OnTrak DSS-200 Wafer Scrubber System Working

Cymer Excimer Laser System ELS-6400 

Therma-Wave Opti-Probe 2600B thermawave optiprobe

Tokyo Electron ACT 12 Cassette Block 200mm

Faro Measuring Arm S12 Silver Series 12 working

Rorze FABS-202 Wafer Transfer Station 1VRR8150-W01-005

Rorze FABS-202 Wafer Transfer 1VRR8150-W01-006 working

Nova NovaScan 3060 Meas. Unit Wet System new 0190-00492

Nova NovaScan 3030 Dry Meas. Unit 300mm new 0190-00563

Faro Measuring Arm S08 Silver Series working

KLA-Tencor Prometrix FT-750 Film Thickness Measurement

NanoMetrics NanoSpec 9000 Profilometer Set new

NANOmetrics NanoSpec 9000b 9000i Metrology Tool new

Tokyo Electron ACT 8 Interface Block and WEE Station

KLA-Tencor Prometrix FT-750 Film Thickness Measurement

Coherent ExciStar S Laser 1127985 rebuilt 0190-B0150

LAM Rittal Corp. AC Distribution Unit ES5984 New

Rudolph bbbbPulse XCu 200mm Metrology Tool

KLA-Tencor CRS 3000 300MM COMPLETE SYSTEM

Tokyo Electron ACT 8 Process Block Robotics Arm 

Tokyo Electron ACT 12 Process Block Robotic Arm 200mm

Nikon Card Cage Assy. APGCi 7500 RS013-497 working

Verteq 1800 SRD Tool 1800.6 1800-6AR working 200mm

CTI-Cryogenics High Capacity Compressor 0190-07137 new

bbb ACT 12 Wafer Edge Exposure (WEE) Process Station

Tokyo Electron ACT 8 Wafer Edge Exposure(WEE) Prcss Stn

Shinwa Temperature & Humidity Controller T&H-ESA-8-T-01

Rudolph Technologies bbbbPulse 200 Metrology Tool 200mm

Rudolph Technologies bbbbPulse 200X Cu Metrology Tool

Rudolph Technologies Metrology Tool bbbbPulse 200X Cu

Tokyo Electron ACT 12 Develop Process Station Right

Tokyo Electron ACT 12 Develop Process Station Left

Tokyo Electron ACT 8 Develop Process Station Left 

Tokyo Electron ACT 8 Develop Process Station Right 

Novellus Concept Two Altus Wafer Chamber CVD-W complete

Shimadzu Turbopump TMP-3403LMTC rebuilt 3620-00486

AMAT XR80 Implanter 300mm Wheel and motor 0020-99685

Tokyo Electron bbb ACT 12 Chemical Cabinet Working

bbb ACT 8 SOG Coat Process Station Right Working

bbb ACT 8 SOG Coat Process Station Left Working

Tokyo Electron bbb ACT 8 Chemical Cabinet Working

Tokyo Electron ACT 12 Cassette Block Robotics Arm 

Tokyo Electron ACT 12 Coat Process Station Right

Tokyo Electron ACT 12 Coat Process Station Left

Tokyo Electron ACT 8 Coat Process Station Left 

Tokyo Electron ACT 8 Coat Process Station Right

Fusion Semiconductor M200 PCU Photostabilizer For Parts

Alcabbb Helium Leak Detector ASM 180td+ working

Alcabbb Helium Leak Detector ASM 180td+ working

Edwards Vacuum Turbopump STP-XH2603P new 3620-00368

Novellus Concept II Two C2-DCLM-S Untested (For Parts) 

Novellus Concept II Two C2-DCLM-S Untested (For Parts) 

SMC Thermo-Con ACT 12 Tokyo Electron INR-244-244U-670

Seiko Seiki STP-1000 Vacuum Turbopump rebuilt

Pfeiffer Vacuum Turbopump TMH 1001P rebuilt

AE Ovation 35162 RF Generator 3150861-002 0190-27049

Kensington 200mm Wafer Sorter Mapping Station CSMT-4

Takatori ATRM-2100 Delaminator Tape Remover Working

Therma-Wave OptiProbe 2600B Film Measurement Module

ATS M-PAK Tmp Control System Chiller MP40C-DI new

AMAT Part No: 0190-08467

Shimadzu Vacuum Turbopump TMP 2001-LME new

ASML DSX Stepper A1 Prime 8540138001 working

AMAT Endura PVD Lower Chamber 300mm 0010-22744

AMAT Endura PVD Lower Chamber 0010-22744 300mm

AMAT XR80 Implanter Wheel Assembly 200mm

SMC Thermo-Con bbb ACT 8 Temp Control CTINR-244-211T-45

bbb ACT 8 Chilling Hot Plate Process Station (CHP)

bbb ACT 8 or 12 Computer Module CT2980-415508-W1 

ATS M-Pak MP40C-DI Temp. Control Chiller 0190-08467 new

ATS M-Pak Chiller System MP40B-GL new 0190-08471

Inficon Pfeiffer Transpector Test System CIS TS100

Nicolet ECO 1000 Metrology Tool untested

AMAT 6" VCR Heater Assy. 0010-70252 new

ATS M-Pak Temp. Control Chiller System MP40F-DI working

Takatori ATM-1100C Delaminator Tape Remover Working

Advanced Thermal Sciences Chiller MP40B-GL working

Kobelco Leo LTA-1200 Wafer Lifetime Measuring System

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 working

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 working

Shimadzu Turbopump TMP-3403LMC working 3620-00454

Coherent Photocoagulation Laser Novus Omni untested

Shimadzu Vacuum Turbopump TMP-3403LMTC working

Shimadzu Vacuum Turbopump TMP-3403LMC working

bbb ACT 8 Low Temp Hot Plate Process Station (LHP)

Brooks Inligner Prealigner & Controller 001-2980-69

SMC Thermo Chiller INR-497-049 working

Yaskawa Transfer Robot Track XU-ACL3701 new

Alcabbb ATH 1600 M Vacuum Turbopump working P65621A0

Edwards iQDP80 Vacuum Pump QMB250 Blower Rebuilt

Leybold Turbovac 1000 C Vacuum Turbopump rebuilt

Toyota T600 Vacuum Dry Pump 0240-52730 new

Tokyo Electron bbb ACT 12 AC Power Box Working 

Tokyo Electron bbb ACT 8 AC Power Box Working 

Hitachi S-9300 SEM Transfer Robot working

Toyota T600 Vacuum Dry Pump new 0240-52729

MRC Power Supply Tower PDP 2500 ACG-10B MDX Delta

bbb ACT 12 WEE Lamp House CT2985-411701-W3 HU250T-A

bbb ACT 8 Interface Block Robotics Arm 200mm

bbb ACT 12 Interface Block Robotics Arm 200mm working

Yaskawa VS2B Robot & Controller RC/ELC1 SRC-II 005

Leybold Dryvac 100P WSU251 Dry Vacuum Pump working

Yaskawa Transfer Robot Track Rail XU-ACL4722 new

Asyst IsoPort 300mm Load Port 9700-9129-01 working

SMC Thermo-Con bbb ACT 8 Temp Control INR-244-211T-24

Leybold Vacuum Turbopump Turbovac 1000C rebuilt

Edwards Dry Vacuum Pump iH 35 SE working

Yaskawa bbb Unity 2 Transfer Robot & Controller VS2B

KLA-Tencor 2139 ECS Theta Z Assy. 740-695328-000 new

PTI bbb ACT 8 12 Exhaust Controller Sentry 1000 w/ TIM

Hitachi 3-832863 Yashibi YCC-18K-X DC Pwr Supply Refurb

Hitachi 7.5kVA Transbbbber Box W/ Siemens FXD63B250 New

Agilent Fiber Laser Source Z4203B Opt. 001 working

Asyst Wafer Robot & Controller UTV-F2500HA CS-7100 new

Nikon MAC & LS Controller 027H0153 W/ Sub EP & LS IOP

KLA-Tencor AIT 2 Upper Opto-Mech NNC 0024755-001

KLA-Tencor AIT 2 Rear Collector Assy. 0032129-000

KLA-Tencor AIT 2 Front Collector Assy. 0032131-001

bbb Procell Electronics Cabinet & Components NSK 

CTI-Cryogenics High Capacity Compressor 0190-27351

Tokyo Electron ACT 12 Front Opening Unified Pod B-Type

Materials Research Corp. Eclipse Star Chamber Assembly

KLA-Tencor AIT Upper Opto-Mech 0024755-000 working

KLA-Tencor AIT 2 Collector Assy. 0074311-000 working

KLA-Tencor AIT Rear Collector Assy. 0074307-000 working

KLA-Tencor AIT2 AIT3 Rear Collector Assy. 562556

KLA-Tencor AIT 2 Upper Optoc-Mech 0024755-001 working

VAT Pendulum Valve 65048-PHCG-ALS2 Working 0190-29861

Leybold Cryopump Coolvac 1500 rebuilt 0190-12092

Hitachi 3-83818-01R Pearl Kogyo RF Generator LP-150 

ASML Control Card Module 4022.470.7782 working

ENI Spectrum B-5002 RF Generator 5kW refurb 0920-00062

Staubli ASML Robot Arm PUMA 260B rebuilt

Edwards iQDP40 Dry Vacuum Pump QMB250 Blower rebuilt

Yaskawa Transfer Robot Track XU-ACL3701 working

ASML Vacuum Gas Module 4022.486.21561 working

Brooks 200mm Prealigner Chamber 10600-10 working

LAM Research LBPM AC Distribution Unit 1B02735G01 New

Ecosys Novapure S451D Scrubber Tank C3-0NN-B5-C2-D3

LAM Research RBM AC Distribution Unit 1B02737G01 New

Lam 150mm Chamber Assy 8 ESC 38 853-080202R150 Rfrbshd

Ebara A10S Vacuum Pump rebuilt working

ENI Spectrum 5kW RF Generator B-5002 working 0190-27361

bbb ACT 8 SOG High Temp Hot Plate (HHP) Process Station

Therma-Wave Optiprobe OP2600B Used, AS-IS

Yaskawa Linear Servo Motor SGLFW-1ZA200A-AC11 new

KLA-Tencor Surfscan 6220 Inspection Tool AS-IS

Lam 4420 Etcher Orbital Gas Panel Assy 853-024403-100

Nikon S306 Scanner Wafer Chuck 300mm SRX4P KBB21341

LAM 4420 Etcher PCB Rack 853-017305-002 Working

Ecosys Novapure Resin Tank C3-0YN-C6-K2-H2 new

Ecosys Novapure S447D Scrubber Tank C5-YY0-B5-D5-NN new

Tokyo Electron ACT 8 Chill Plate Process Station (CPL)

AMAT IECP Main AC Cabinet 0190-01661 untested

Hitachi S-9300 SEM Electron Gun Assy. untested

ATS M-Pak Temp. Control System Chiller MP40C-DI working

Lufran DI Water Heater 052-RE-480-000-U-CAB working

bbb ACT 8 WEE X-Theta Drive Assy 200mm working

Leybold UL 500 dry Helium Leak Detector

bbb Fujikin Water Vapor Gen. Controller WVG-S2-Y-IB7

bbb Fujikin Water Vapor Generator WVG-S2-Y-IB4 New

bbb Fujikin Water Vapor Generator WVG-S2-Y-IB7 New

bbb ACT 12 Adhesion Process Station 12" working

Buckley Systems Ltd. AMAT XR80 Beam Magnet working

Materials Research Eclipse Star Chamber Assy. A119124

MRC Eclipse Star Chamber Assy. A120946

Hamamatsu LC5 Dual Optical EBR System

Hitachi S-9300 SEM Transfer Robot working

KLA-Tencor AIT 2 Measurement Head 0021726-001 working

MRC Eclipse RMX Magnet Assy. A119182 RD working

MRC Eclipse Star RMX Magnet Assy. 026146 working

MRC Eclipse RMA Magnet Assy. A126387 working

AMAT XR80 Implanter Gas Box Assy. 9010-00049 0010-99158

Brooks Genus Left Vacuum Load Lock 001-9200-54 working

bbb ACT 12 WEE X-Theta Drive Assy 200mm working

Yaskawa Transfer Robot Track XU-ACL4720 working

Alcabbb ADS 801 Dry Vacuum Pump not working

Ebara Dry Vacuum Pump A150W-T untested

Ebara A150W-T Vacuum Pump untested

Thermo Electron Neslab DI Max DEI Water to Water Cooler

Yaskawa Dual Arm Transfer Robot XU-RCM7221 new

Yaskawa Transfer Robot Linear Track XU-ACL4141 new

bbb Tokyo Electron Fujikin Gas/Steam Cabinet

Edwards Dry Vacuum Pump iQDP80 rebuilt

Edwards iQDP80 Dry Vacuum Pump AMAT 3620-01386 new

Edwards Dry Vacuum Pump iQDP80 rebuilt

ASML Stepper A1208 Digital Focus PCB 859-0743-018

bbb ACT 8 Plate Process Temp Control CT2986-424791-12

Alcabbb ADS 501 Dry Vacuum Pump not working

Alcabbb Dry Vacuum Pump ADS 501 not working

bbb Eclipse Star Shield Kit 42755-0500 new

Shimadzu Turbo Molecular Pump TMP 3403LMC (A2)

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 not working

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 not working

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 need rebuild

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 need rebuild

AE Pinnacle 6kW DC Power Supply 3152412-219B 0190-19198

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 need rebuild

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 not working

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 need rebuild

Shimadzu Turbopump TMP-3403LMTC not working 3620-00486

Shimadzu Vacuum Turbopump TMP-3403LMC not working

AMAT RF Match Etch 0010-30094 new

Brooks Transfer Robot ABM-405-1-S-CE-S293 0520-00041

Brooks Series 8 Robot Controller 106512 working

Brooks Genus InCooler Cooling Station 001-4700-12

AE Pinnacle DC Power Supply 3152412-243C 0190-25689

Vat Pendulum Valve 65046-PH52-AKF1/0041 New 3870-03466

Coherent IndyStar 193 Laser Discharge Unit 0190-B0160

Asyst Robot Controller CS-7100S new 0190-14191

Hitachi PCB Control Unit S2-84261 W/ Pwr Spply New

Mitsubishi Sinchoon Turbopump FT-2300M-W1K1 untested

CTI Cryogenics On-Board P300 Cryopump 0190-27355 new

Shimadzu Vacuum Turbopump TMP-3403LMTC need rebuild

Shimadzu Vacuum Turbopump TMP-3403LMC need rebuild

AE Navigator RF Match 3155169-009C new 0190-23122

AE Navigator RF Match 3155126-009D refurb 0190-27577

KLA-Tencor NGP, SP2 Mask Changer Assembly 0053106-002

KLA-Tencor AIT1 Solenoid PCB 001050T Working

KLA-Tencor UV Mask Polarizer NNC AIT 0023725-000

KLA-Tencor AIT 2 Optics Module 0099610-000 untested

Aerotech KLA-Tencor AIT 2 DR 500 Controller 510432

KLA-Tencor TMC STACIS 2000 Active Vibration Control

Tropel KLA-Tencor AIT 2 Doublet 1 2 Lens Pair working

KLA-Tencor AIT Tropel Lens Assy. 0.7x Mag. Conv. 504815

KLA-Tencor AIT 2 PSF Driver 0031750-001 AA working

KLA-Tencor EDrawer Hardware RETR Kit 5.2 STD 2139

KLA-Tencor 2139 Flipper Autoloader Kit 780-689642-000

KLA-Tencor 395005 Tropel 14X CYL bbbescope-1 AIT2 New

KLA-Tencor Dalsa CCD Camera 750-061019-00 untested

KLA-Tencor AIT 2 GPIO Board 386065 AB working

KLA-Tencor Dalton TDI Inspection Camera 750-061019-00

KLA-Tencor 740-693218-001 Extron ADA 4 300MX HV 2139

KLA-Tencor AIT 1 JDS Uniphase Laser 2214-20SLUP

KLA-Tencor AIT UV Laser Servo Detector 0099586-001 New

KLA-Tencor AIT1 Tropel 11.25X Anamorphic bbbescope

KLA-Tencor SP1 TBI Ellipsoid Collector 393541 New

KLA-Tencor AIT 2 Rear Collector Assy. 0032129-001

KLA-Tencor AIT 2 Video Switch Lot 525227 working

KLA-Tencor 3XX-I Refl. Light Detect.760-321399-000 Used

KLA-Tencor AIT 2 Timing Generator Assy. 379093 working

KLA-Tencor Ultrapoint PMT Preamp Assy. 000276 working

KLA Tencor 4 Channel Motor Control Assy 261408 REV A

KLA-Tencor CRS2000 Olympus Microscope Turret BH3-5NRE-M

KLA Tencor 250864

KLA-Tencor CRS 3000 GSI Lumonics X/Y Scanner CCA-10069

KLA-Tencor SLX bbbbbbive Lens 760-334096-000 Untested

KLA-Tencor AIT 2 Rear Collector Assy. 0032129-000

KLA-Tencor Lens Shutter Assy. 592871 working

KLA-Tencor AIT 2 Tropel Lens Assy. 142851 working

KLA-Tencor AIT 2 Upper Opto-Mech NNC 0024755-001

KLA-Tencor AIT1 Solenoid PCB 001090T Working

KLA-Tencor AIT 2 Front Collector Assy. 0032131-000

KLA-Tencor Tropel 15X bbbbbbive 29-0260 untested

KLA-Tencor X-Scanner Assembly 760-032324-00 New

KLA-Tencor Prometrix FT-750 Film Thickness Measurement

KLA-Tencor Rotary Transfer Arm 720-07360-000

KLA Tencor 50-1010 Prometrix, Precision Light Source

KLA-Tencor 0044829-000 Asyst bbbbManager 9700-8533-01

KLA-Tencor 200/300mm Platen Assy. 0082238-000 working

KLA-Tencor AIT 2 MMD Assembly 0038216-000 AB Working

KLA-Tencor Quantox 64000 Cooling Module working

KLA-Tencor M1 3XX-I Active Mirror 760-321700-001 New

Oriel 68795-KLA Arc Lamp Ignitor KLA-Tencor 2365-UI

KLA-Tencor AIT 2 Keyboard Breakout 547220 working

KLA-Tencor Alpha-Step 100 Profiler and Printer

KLA-Tencor AIT 2 PSF Driver Board 0031750-000 working

KLA-Tencor AIT 2 Fastcom 8 RS-232 Adapter 542288

KLA-Tencor 2365 EMO Control Module 710-734976-001

KLA-Tencor AIT 2 Lens Filter Assy. 392855 working

KLA-Tencor AIT 2 Mirror Assy. 392804 working

Aerotech KLA-Tencor Aerotech DR 500 Controller 510432

KLA-Tencor AIT2 AIT3 Rear Collector Assy. 562556

KLA-Tencor SP2 Filter Assy. 0067079-000 untested

KLA-Tencor AIT 4 Channel Motor Control 363251 working

KLA-Tencor 3XX-Blue Cas Replacement 780-372161-000 Used

KLA-Tencor AIT1 Ultrapointe Fast Z Controller PCB

KLA-Tencor AIT3XP APS NIC Tower 0034693-001 New

KLA-Tencor 710-663106-001 Dual Stepper Driver 2 New

KLA-Tencor Laser Servo Detector 0062032-000 Refurbished

KLA-Tencor Tropel Lens Assy. 0099748-000 untested

KLA-Tencor AIT 2 MMD Power Unit 0038216-001 working

KLA-Tencor AIT 2 Measurement Head 0021726-001 working

KLA-Tencor AIT2 Heat Exhaust Blower 570-0443

KLA-Tencor AIT1 Robot Distr. Assy. PCB 530352 Working

KLA-Tencor AIT 2 XP Datapackage Board 0052989-002

KLA-Tencor 2365 VA Shutter Driver D880C working

KLA-Tencor AIT2 Power Assembly LPM 390500

KLA-Tencor Tropel Lens Assy. 0099750-000 untested

KLA-Tencor AIT 2 UI Splitter Board 547247 AA working

KLA-Tencor 2365-UI Marathon G580 1/3HP Motor Fan

KLA-Tencor KLA 2365-UI Marathon G580 1/3HP Motor Fan

KLA-Tencor 2365-UI Axis PCB Assy 3900047-D Working

KLA-Tencor 780-08568-000 Pac Sci Piezo Controller P-265

KLA TENCOR Surfscan 6220 Unpatterned Surface Inspection

KLA-Tencor AIT1 Leica Microscope Turret Assembly

KLA-Tencor CRS-1010 Control PCB 311-14988-1 working

KLA-Tencor 0099749-000 Tropel NominalZoom Lens Untested

KLA-Tencor CRS1010 SDP Frame Grabber PCB 000483

KLA-Tencor SLX Dog/Wag Assembly 760-333026-001

KLA-Tencor HRP-340 Techsol Filter Unit 009-99FFU

KLA-Tencor 50M Mirror 150mm x 25mm x 8mm 29-0383 New

KLA-Tencor SLX Reflected Light Assy 760-333022-000 Used

KLA Tencor P-22, profiler

KLA-Tencor Wafer APS3.1 Board 016302-000 AB working

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000 Used

KLA-Tencor 5300 AMS Assembly 720-480036-003 untested

KLA-Tencor 10KV Floodgun Assembly 720-23189-000

KLA-Tencor 2365-UI Orix MB1040-B AC Fan Assembly

KLA-Tencor AIT2 Lamp Control Board 556700 Working

KLA-Tencor LV Detector Assy. 720-11440-000 untested

KLA-Tencor CRS1010 Mirror Assembly working

KLA-Tencor 64060 Distribution Module working

KLA-Tencor SLX bbbbbbive Mount 760-333005-000 Untested

KLA-Tencor CRS1010 Lens Filter Assy. 002001 working

KLA-Tencor SLX Relay/M5 Assembly 760-333019-001 Used

KLA-Tencor SLX Reflected Light Assy 760-333022-000 Used

KLA-Tencor Preamp Assy. 775-334186-000 untested

KLA-Tencor CRS 2000 Power Supply working

KLA-Tencor Ref. Light Preamp 775-322364-000 untested

KLA-Tencor Quantox GSI Scanner PCB 3002004 working

KLA-Tencor AIT 2 Front Collector Assy. 0032131-001

Sony KLA-Tencor AIT 1 PowerHAD Camera DXC-950 CMA-D2

KLA-Tencor Left Cassette Nest, 5.2 740-694842-000 New

KLA-Tencor XP bbbbbbive Lens 0110155-000 Untested

KLA-Tencor Quantox Head Control Module 64020 working

KLA-Tencor 3XX-1 Image Rotator 760-321260-000 New

KLA-Tencor CRS1010 Solenoid PCB 000678 working

KLA-Tencor SLX Chirp Cell 760-333918-000

KLA-Tencor F5X Disp Analyzer 0105208-000 Untested

KLA-Tencor AIT 2 4-Channel Motor Control 261408 working

KLA-Tencor 501-321060-000 Tropel Lens Untested

KLA-Tencor 0099750-000 Tropel NominalZoom Lens Untested

KLA-Tencor CRS1010 TRT/OPT PCB 001009T working

KLA-Tencor AIT1 Tropel 14X Anamorphic bbbescope

KLA-Tencor AIT2 Remote LED Board 509868 Working

KLA-Tencor AIT 1 GSI Optical Module 000-30011003

KLA-Tencor 3XX-I Reflected Light Detector760-321399-000

KLA-Tencor CRS 2000 Optical Module 3008528 working

KLA-Tencor Quantox Distribution Module 64060 working

KLA-Tencor HRP-340 Power Supply Module 002062-000

KLA-Tencor CRS1010 BF/DF Control PCB 000675T

KLA-Tencor CRS1010 Driver Module 000675T working

KLA-Tencor AIT1 Solenoid PCB 001049T Working

KLA-Tencor TRT/OPT Control PCB 001009 working

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000 Used

KLA-Tencor AIT 2 Keyboard Breakout 547220 working

KLA-Tencor AIT1 Solenoid PCB 000678T Working

KLA-Tencor M1 Mounted Assy, L7, NNC, AIT3 556556 New

KLA-Tencor Optics RF Bench Assy. 740-058089-00 untested

KLA-Tencor Programmable Assembly 20009243A

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000

KLA-Tencor CRS1010 A-Stop Control PCB 001002T working

KLA-Tencor AIT 2 Illumination Board 556700 working

KLA-Tencor AIT 2 Servo Box Assy. 0039978-000 working

KLA-Tencor 0099751-000 Tropel -5% Zoom Lens Untested

KLA-Tencor CRS1010 Camera Filter Assy. 000056 working

KLA-Tencor X-Scanner Assy. 760-032324-00 untested

KLA-Tencor SLX Preamp Assy. 775-334268-001 untested

KLA-Tencor AIT 2 PSF Driver Board 556661 working

KLA-Tencor AMS Assembly 720-4800036-002 Used

KLA-Tencor Newport AIT3 Mask Changer 556190 New

KLA-Tencor CRS1010 Filter Wheel Drv. PCB 000674T

KLA-Tencor Laser Mod 0124593-000 New

KLA-Tencor AIT UV COLL Side Polarizer 0024476-001 New

KLA-Tencor AIT 2 VAS Buffer Assy. 0034223-000 working

KLA-Tencor AIT Lens Module 0034691-000 working

Daihen KLA-Tencor HRP-340 Auto Tune Box CMC-10

KLA-Tencor F5 Flipper Actuator 52-0837 New

KLA-Tencor CRS-1010 Control PCB 311-14988-1

KLA-Tencor CRS1010 A-Stop Control PCB 001002 working

KLA-Tencor 285293 386065 AIT2 GPIO Board Working

KLA-Tencor AIT 2 EMO CD Floppy Drive Module Working

KLA-Tencor 2365-UI Control Module Board 710-734976-001

KLA-Tencor CRS1010 Turret Optics PCB 000676 working

KLA-Tencor Marway 230V Power Strip 0046059-000 New

KLA-Tencor AIT 2 U500 Control Board 690D1470 working

KLA-Tencor CRS1010 Solenoid PCB Assy. 000678 working

KLA-Tencor SLX Preamp Assy. 775-334268-000 untested

KLA-Tencor AIT 1 Power Supply Module working

Pair KLA-Tencor 525227 AB AIT 2 Video Switch Working

KLA-Tencor CRS1010 Solenoid PCB 000678T working

KLA-Tencor CRS1010 A-Stop Control PCB 001002 working

KLA-Tencor CRS1010 Lens Filter Assy. 000056 working

KLA-Tencor AIT APS Tower/Center Block 0071149-001 Used

KLA-Tencor CRS1010 Filter Wheel Drv. PCB 000674 working

KLA-Tencor NEAT CRS1010 200mm Wafer Stage Controller

KLA-Tencor 2365-UI PSB1600MBX PCB 0062642-003

Pulizzi Z-Line KLA-Tencor Quantox Power Supply PC2425

KLA-Tencor CRS1010 Camera Lens Filter 002001

KLA-Tencor AIT2 UI Splitter Board 547247 Working

KLA-Tencor AIT 2 GPIO Board 386065 working

KLA-Tencor AIT 2 3 Front Collector Assy. 562610

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000

KLA-Tencor Newport AIT3 Mounted Assy L6, NNC 556513 New

KLA-Tencor AIT1 GSI Optical PCB Assembly 000-3015012

KLA-Tencor CRS 2000 Mirror Assembly working

KLA-Tencor CRS1010 Laser Power Board 0046591-000

KLA-Tencor HRP-340 U-Joint Board 454788 working

KLA-Tencor AIT Laser Servo Detector Assy. 0099586-000

KLA-Tencor Sony DXC-950P PowerHAD 3CCD Video Camera

KLA-Tencor AIT1 Light Chopper Module Working

KLA-Tencor O/S Actuator Elevator 720-01488-003 Untested

KLA-Tencor Newport NNC, AIT3, XP Polarizer 0044232-001

KLA-Tencor RS100 Equipe Robot End Effector working

KLA-Tencor Quantox 64000 Cooling Module working

KLA Tencor 7700M 285684 REV A

KLA-Tencor 30-0070 Techsol Mini Environment 016-98 Used

KLA-Tencor 5300 AMS Assy 720-480036-002 Used, Untested

KLA-Tencor CRS1010 Page Scanner PCB 000134

Wyko Veeco NT2000 Optical Profiler Zygo KLA Tencor

KLA-Tencor Comet Pneumatic Box 0104921-001 Untested

KLA-Tencor AIT 2 MMD Analog Board 0033168-000 565040

KLA-Tencor Quantox Light Board Module 64040 working

KLA-Tencor CRS1010 Laser Power Board 000327

KLA-Tencor AIT2 Cradle Power Board 328014 Working

KLA-Tencor M2/M3 Servo Controller PCB 0038746-001 Used

KLA-Tencor Comet AMS Low Mag 0104920-003 Refurbished

KLA-Tencor HRP-340 Interface Board 303737 working

KLA-Tencor Yaskawa End Effector 0077427-002 untested

KLA-Tencor Nikon Box Door Opener 750-059211-001 New

KLA-Tencor Shipping Energy Filter Assmbly 720-12647-002

KLA-Tencor SLX RF Bench Assy. 740-336864-001 untested

KLA-Tencor Sharp 15″ LCD Monitor 0095643-000 Used

KLA-Tencor AIT2 Wafer APS3.1 Board 0016302-000 AD

KLA-Tencor AIT 2 Illumination Board 556700 Rev.AD

KLA-Tencor AIT 2 MMD Analog Board 565040 working

KLA-Tencor AIT2 SCSI Board U500UL TRA/ES13497

KLA-Tencor CRS1010 Optical PCB PWA E11-13209-7 Rev D

KLA-Tencor Glentek Electronics Analyzer 0045454-000

Extron KLA-Tencor 2365 Video RGB Amp DA2 RGBHV

KLA-Tencor AIT1 Ultrapointe Page Scanner Controller PCB

KLA-Tencor AIT2 4-Channel PWM Motor Drive Board 188859

KLA-Tencor AIT UV Laser Servo Detector 0099586-001 Used

KLA-Tencor Aperture Heated Rod 781-23234-001 Used

KLA-Tencor Aperture Heated Rod 781-23234-002 Used

KLA-Tencor CRS1010 Page Scanner PCB 000134 working

KLA-Tencor 261408 4 Channel Control Board Working

KLA-Tencor AIT 2 4-Channel Motor Control Board 363251

品牌其他
型号KLA-Tencor45833-00PCBT
加工定制
厦门翔麟奕科技有限公司
  • 公司类型私营独资企业
  • 经营模式-私营独资企业
  • 联系人叶先生
  • 联系手机15260226267
  • 联系固话0592-15260226267
  • 公司地址厦门市翔安区民安街道莲亭路837号39楼702
主营业务
西门子低压 施耐德 施迈赛 PILZ 皮尔兹 DOLD
工程和技术研究和试验发展;软件开发;电气设备销售;电子元器件批发;机械零件、零部件销售;五金产品批发;五金产品零售;技术进出口;货物进出口;进出口代理;国内贸易代理;销售代理;软件销售;信息安全设备销售;计算机软硬件及辅助设备批发;互联网设备销售;物联网设备销售。
叶先生 电话咨询 立即询价