返回 产品详情
CTI-Cryogenics 8031300 8500
来自厦门翔麟奕科技有限公司
¥5.00
发布时间  2024-09-22 09:29:14 关注次数  28
厦门翔麟奕科技有限公司
家家通 3年
图文介绍 产品参数 供应商信息

ASM Advanced Semiconductor Materials 03-20930 PCB Card 02-15839

ASM Advanced Semiconductor Materials 03-20930 PCB Card Rev. J 02-16582

ASM Advanced Semiconductor Materials 03-20932 Lighting Control PCB Card

ASM Advanced Semiconductor Materials 03-21022 PCB Card 02-15912

ASM Advanced Semiconductor Materials 03-21037 Network Processor PCB Card

ASM Advanced Semiconductor Materials 03-21127 PCB Card 02-15467-01

ASM Advanced Semiconductor Materials 03-21127 PCB Card Rev. G 02-15467-01 Spare

ASM Advanced Semiconductor Materials 03-21659-04 Data Acquisition PCB Card Spare

ASM Advanced Semiconductor Materials 04-324594A01 200mm Side TC Sheath Kit

ASM Advanced Semiconductor Materials 1000-762-01 Operation Panel 50819-1127

ASM Advanced Semiconductor Materials 1001-524-21 Processor PCB Card Rev. B

ASM Advanced Semiconductor Materials 1005-954-01 200mm Susceptor

ASM Advanced Semiconductor Materials 1006-045-01 Heater Jacket Zone 2B

ASM Advanced Semiconductor Materials 1025-446-01 Interface Board PCB TYPE4

ASM Advanced Semiconductor Materials 16-141270-01 Process Chamber ATM 300MM

ASM Advanced Semiconductor Materials 16-193480-01 300mm Susceptor Gamma Hybrid

ASM Advanced Semiconductor Materials 16-321411C01 Lifter Susceptor Ring

ASM Advanced Semiconductor Materials 16-401656-01 Ceramic DUCT-EXHAUST SHD

ASM Advanced Semiconductor Materials 2334801-21 Processor PCB Card Rev. B

ASM Advanced Semiconductor Materials 2424908-01 Door Opener Assembly  Surplus

ASM Advanced Semiconductor Materials 2473577-01 Tool Heat Exchanger Assembly

ASM Advanced Semiconductor Materials 2506416-21 Power Supply PCB Card

ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card

ASM Advanced Semiconductor Materials 2506513-21 Processor PCB Card Rev. A1

ASM Advanced Semiconductor Materials 2506556-21 Processor PCB Card

ASM Advanced Semiconductor Materials 2506564-21 Processor PCB Card Rev. A4

ASM Advanced Semiconductor Materials 2506572-21 Processor PCB Card Rev. A

ASM Advanced Semiconductor Materials 2506629-01 Processor PCB Card Rev. A

ASM Advanced Semiconductor Materials 2506629-21 Processor PCB Card Rev. 4-C

ASM Advanced Semiconductor Materials 2506661-21 Processor PCB Card Rev. B1

ASM Advanced Semiconductor Materials 2510200-21 PCB Card WK0107

ASM Advanced Semiconductor Materials 2548860-21 Processor PCB Card

ASM Advanced Semiconductor Materials 2548860-21 Processor PCB Card Rev. A

ASM Advanced Semiconductor Materials 2616351-21 Processor PCB Card Rev. A

ASM Advanced Semiconductor Materials 2850125-21 Processor PCB Card

ASM Advanced Semiconductor Materials 2856735-21 Processor PCB Card 115ASM

ASM Advanced Semiconductor Materials 2890224-21 Processor PCB Card Rev. A

ASM Advanced Semiconductor Materials 2949717-01 TPSS-CU Heat Barrier Copper

ASM Advanced Semiconductor Materials 2976285-21 Processor PCB Card

ASM Advanced Semiconductor Materials 54-123388A45-R Controller XPE

ASM Advanced Semiconductor Materials 70065-00037C Motor DIO PCB Card

ASM Advanced Semiconductor Materials 70065-00044A Motor SPGR PCB Card

ASM Advanced Semiconductor Materials 73055-70454 Insulator Ring  Surplus

ASM Advanced Semiconductor Materials 73055-72531 Shower Plate OEM Cu

ASM Advanced Semiconductor Materials 93000-07445 Wafer Aligner  Surplus

ASM Advanced Semiconductor Materials 96-125485A29 Pedestal UNI HSE Platen

ASM Advanced Semiconductor Materials P114295400 Kit-Swap FLR Generic-Dev

ASM Advanced Semicondutor Material 73050-70274 Susceptor Pedestal Heater Cu

ASM AMERICA 02-320586D01 Reflector-Center-Assy

ASM D004651D SOLID SOURCE CONTAINER- HIG

ASM XP4 TRANSFORMER-34KVA 3PH PRI480V SEC380/120 INSTALL KIT

ASML 4022.230.0535 Processor PCB Card PC1701/01 9406.217.0100 PAS

ASML 4022.422.6423 Commutator PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.422.64230 Commutator PCB Card 4022.422.6423 PAS 5000/2500

ASML 4022.423.1786 Processor PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.428.10810 Blades Control PCB Card 4022.428.1081 PAS 5000/2500

ASML 4022.428.10830 Blade Driver PCB Card 4022.428.1083 PAS 5000/2500

ASML 4022.428.10940 Motor Relais PCB Card 4022.428.1094 PAS 5000/2500

ASML 4022.428.10970 Voltage Current PCB Card 4022.428.1097 PAS 5000/2500

ASML 4022.428.11160 Velocity Control PCB Card 4022.428.1116 PAS 5000/2500

ASML 4022.428.11190 Timing Control PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.428.1276 Relay PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.428.12760 Relay PCB RELAIS CARD PAS 5000/2500 Wafer Stepper

ASML 4022.428.1449 Reticle Handling Control PCB Card PAS 5000/2500

ASML 4022.428.14490 Reticle Handling Control PCB Card PAS 5000/2500

ASML 4022.428.14550 TB 2500 X PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.428.1457 TB 2500 T PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.428.14570 TB 2500 T PCB Card 4022.428.1457 PAS 5000/2500

ASML 4022.428.14590 TB 2500 R PCB Card 4022.428.1459 PAS 5000/2500

ASML 4022.428.1556 Power Amplifier PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.428.1763 Relay PCB Card ASML PAS 5000/2500 Wafer Stepper

ASML 4022.428.1804 Prealignment Unit PAS 5000/2500 Wafer Stepper

ASML 4022.428.1804 Prealignment Unit PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.430.0090.0 Align MUX+DEM PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.430.01090 Reticle Table Control PCB Card PAS 5000/2500

ASML 4022.430.0124 Wafer Handler Control PCB Card PAS 5000/2500

ASML 4022.430.01260 Dipod Control PCB Card 4022.430.0126 PAS 5000/2500

ASML 4022.430.04780 Shutter Control PCB Card 4022.430.0478 PAS 5000/2500

ASML 4022.430.05291 TB 2500 x PCB Card 4022.430.0529 PAS 5000/2500

ASML 4022.430.0530 P. Chuck Drive PCB Card PAS 5000/2500 Wafer Stepper

ASML 4022.430.05300 P. Chuck Drive PCB Card 4022.430.0530 PAS 5000/2500

ASML 4022.430.0679 Backplane Board PCB PAS 5000/2500 Wafer Stepper

ASML 4022.430.0759 Processor PCB Card MCDM 60 5,5 PAS 5000/2500

ASML 4022.430.2048 Mains Switch Unit 4022.428.1761.5 PAS 5000/2500

ASML 4022.430.2125 VME X-B39 Backplane Board PCB PAS 5000/2500

ASML 4022.430.2149 SCSI/SH with Batteries PCB Card PAS 5000/2500

ASML 4022.436.1609 Power Supply Interface PCB Card

ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB

ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB

ASML 4022.436.7714 Embedded Programmable Logic VME Card PCB

ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card

ASML 4022.437.1053 2-Channel Processor Board PCB Card

ASML 4022.437.1121 Laser Phase Modulator Box

ASML 4022.437.2993 8-Channel Fiber Optics Board PCB

ASML 4022.437.3013 Shutter Control Interface Card PCB

ASML 4022.470.0892 TRB Module 4022.471.9501 PCB Card 4022.471.4479

ASML 4022.470.2016 VSO Error Monitoring Module Damaged Connector Untested As-Is

ASML 4022.470.2197 Pneumatic Manifold Nikon Reticle Loader

ASML 4022.470.2197 Pneumatic Monitor Box 71901963 Stepper Dual Scan

ASML 4022.470.6295 RH Handling Box SHB-RH Nikon NES1 Mini Stepper

ASML 4022.470.7782 Control Card Module

ASML 4022.471.4042 Circuit Board PCB

ASML 4022.471.4069 Interface VMEbus PCB Card

ASML 4022.471.4096 SO2 Interface Board PCB Card VME

ASML 4022.471.4099 Interface VME Card PCB

ASML 4022.471.4102 Interface Board PCB Card

ASML 4022.471.4105 Interface Board PCB Card

ASML 4022.471.4108 Interface VMEbus PCB Card

ASML 4022.471.4111 Interface Board PCB Card

ASML 4022.471.4117 Interface VMEbus PCB Card

ASML 4022.471.42862 Interface Board PCB Card

ASML 4022.471.4504 MSL LED Indicator Board PCB Card

ASML 4022.471.4533 Interface Board PCB Card

ASML 4022.471.4567 Interface VMEbus PCB Card

ASML 4022.471.4629 Interface Board PCB Card

ASML 4022.471.4633 Interface Board PCB Card

ASML 4022.471.4635 Interface VMEbus PCB Card

ASML 4022.471.46371 Interface Board PCB Card

ASML 4022.471.4639 Interface Board PCB Card

ASML 4022.471.4641 Interface VME Card PCB

ASML 4022.471.4643 Interface VMEbus PCB Card

ASML 4022.471.4648 Interface PCB Control Card

ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581

ASML 4022.471.5059 Interface Board PCB Card

ASML 4022.471.5200 SHB Limms Safety Unit PCB Card

ASML 4022.471.5284 Circuit Board PCB

ASML 4022.471.5533 Dummy VME Card PCB VME64E 4022 471 5533.1

ASML 4022.471.5535 Dummy VME Card PCB VME64E 4022 471 55361

ASML 4022.471.5580 Interface Board PCB Card

ASML 4022.471.5581 Interface Board PCB Card

ASML 4022.471.5616 Interface Board PCB Card S15 4022.471.56171

ASML 4022.471.5691 Interface Board PCB Card

ASML 4022.471.5745 HSSL Fiber Optic Processor VME PCB Card

ASML 4022.471.5751 06 Extender Board PCB Card

ASML 4022.471.5839 Interface VMEbus PCB Card

ASML 4022.471.5845 Interface Board PCB Card S14 4022.471.58481

ASML 4022.471.6109 Circuit Board PCB

ASML 4022.471.6109 PCB Broken PCB Tab

ASML 4022.471.6240 Fiber Optic Transceiver VME Card PCB 4022 471 4187.1

ASML 4022.471.6276 AT IM MC1 RP Board PCB Card 11 4022.471.62751

ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781

ASML 4022.471.6282 AT IM MC3 RP Board PCB Card 13 4022.471.62811

ASML 4022.471.6285 AT IM MHB ACT RP Board PCB Card 10 4022.471.62841

ASML 4022.471.6292 AT IM REM RES. RP Board PCB Card 05 4022.471.62911

ASML 4022.471.63192 Indicator Processor VME PCB Card

ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721

ASML 4022.471.6363 Fiber Optic Processor VME PCB Card 4022.471.63651

ASML 4022.471.6464 S01 I/O Interface VME Card PCB 4022 471 64651

ASML 4022.471.6555 Circuit Board PCB

ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591

ASML 4022.471.6678 Interface Board PCB Card 17 4022.471.66791

ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981

ASML 4022.471.6700 Interface Board PCB Card 15 4022.471.66981

ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981

ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081

ASML 4022.471.6712 Interface Board PCB Card 12 4022.471.56171

ASML 4022.471.6782 AT IM SHUT/VA RP Board PCB Card 03 4022.471.62591

ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531

ASML 4022.471.6909 PSU Monitor Board PCB VME Card 4022.471.69101

ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441

ASML 4022.471.6947 S08 Serial Interface VME Card PCB 4022.470.88821

ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501

ASML 4022.471.6980 Interface Board PCB Card 20 4022.471.69811

ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421

ASML 4022.471.7152 Interface Board PCB Card 28 4022.471.71541

ASML 4022.471.7153 Interface Board PCB Card 25 4022.471.71541

ASML 4022.471.7155 Interface Board PCB Card 19 4022.471.71601

ASML 4022.471.7156 Interface Board PCB Card 20 4022.471.71601

ASML 4022.471.7157 Interface Board PCB Card 22 4022.471.71601

ASML 4022.471.7158 Interface Board PCB Card 23 4022.471.71601

ASML 4022.471.7159 Interface Board PCB Card 25 4022.471.71601

ASML 4022.471.7196 Interface VME Card PCB

ASML 4022.471.7213 Interface Board PCB Card

ASML 4022.471.7281 Relay LED Indicator Board PCB Card

ASML 4022.471.7420 Interface Board PCB Card 16 4022.471.74221

ASML 4022.471.7421 Interface Board PCB Card 17 4022.471.74221

ASML 4022.471.7488 Capacitor Interconnect PCB Card

ASML 4022.471.7488 Power Supply PCB Card S26

ASML 4022.471.7491 S31 Serial Interface VME Card PCB 4022 471 74971

ASML 4022.471.7503 HSSL Process Control Board PCB VME Card

ASML 4022.471.7510 S31 Serial Interface VME Card PCB 4022 471 74971

ASML 4022.471.7683 Interface Board PCB VME Card 4022 471 76821

ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311

ASML 4022.471.7852 Interface Board PCB Card 18 4022.471.78531

ASML 4022.471.8128 DC-DC-Converter VME PCB Card

ASML 4022.472.4428 Programmable Logic Device VME PCB Card

ASML 4022.480.0012 300mm Wafer Handler FOUP 4022.480.1865 bbb Untested Surplus

ASML 4022.480.66105 Fiber Optic Light Source TWINSCAN XT:1250

ASML 4022.634.27501 WH Robot Interface PCB Card 4022 634 27511

ASML 4022.636.27442 MPD Relay Box ASML Dual Stepper Scan

ASML 4022-436-7114 VME Processor Control Board PCB Card

ASML 851.0618.003 Stepper Library Brake

ASML 851-8226-008A 4 Axis Counter Board PCB Card A1212

ASML 851-8226-008A 4 Axis Counter PCB Card A1212 4 AXIS COUNTER  Spare

ASML 851-8226-008B 4 Axis Counter PCB Card A1212  Spare

ASML 851-8518-005 Rev C A/D COV.-POS.REG. PCB

ASML 854-8301-006A Stepper Module Board PCB 854-8302-003A 851-8240-007H Spare

ASML 854-8301-006C Circuit Board PCB MAMM010  Untested As-Is

ASML 854-8301-006C Stepper Module PCB 854-8302-003B 851-8240-007K  Spare

ASML 854-8301-007 Stepper Module PCB A1211-AFA

ASML 854-8306-005 Circuit Board PCB AFA Preamp / ADC 16 Bit

ASML 854-8306-008B Circuit Board PCB AFA Preamp / ADC 16 Bit

ASML 854-8306-008E Circuit Board PCB AFA Preamp / ADC 16 Bit

ASML 854-8306-008H Circuit Board PCB AFA Preamp / ADC 16 Bit

ASML 859-0741-003 Circuit Board PCB 851-8240-007  Untested As-Is

ASML 859-0741-004E Circuit Board PCB 859-5194-003  Untested As-Is

ASML 859-0741-004E Interface PCB Card 859-5194-003 851-8240-007F ADSP-2100 Spare

ASML 859-0741-004-G Interface Board PCB Card A1208 - A1211 Broken Tab

ASML 859-0741-006A Motherboard PCB Card DSP MOTHER BOARD 851-8240-007K

ASML 859-0741-006B DSP Mother Board PCB 851-8240-007K  Spare

ASML 859-0743-010A Digital Focus Board PCB Card 858-8040-007D 851-8240-007F

ASML 859-0743-011A Digital Focus Board PCB Card 858-8040-008A 851-8240-007A

ASML 859-0743-018-C Digital Focus Board PCB 858-8040-012 851-8240-008

ASML 859-0743-018E Circuit Board PCB 879-7120-003  Untested As-Is

ASML 859-0927-008-F Servo Compensation Board PCB 859-0904-006C  Spare

ASML 859-0927-008-G Servo Compensation Board PCB 859-0904-006C  Spare

ASML 859-0927-009A Circuit Board PCB MAJN033  Untested As-Is

ASML 859-0927-009A Servo Compensation Board PCB 859-0904-007A  Spare

ASML 859-0942-004G Inteface Board PCB Card 851-8240-007G Broken Tab

ASML 859-8030-003 AFA PREAMP/ADC 16 Bit PCB Card ASML Lithography

ASML 859-8132-002 Viewing Power Select Panel bbb 859-8132-002 HP 10780F

ASML 859-8147-001E Motherboard ZX S64 859-0529-004J RadiSys 851-8833-001A Spare

ASML 859-8212-002 E PCB A1206 ADC/ELPS Interface  Untested As-Is

ASML 859-8218-002F Interface Board PCB Card A1206 ADCELPS INTERFACE

ASML 859-8218-002Q ADCELPS Interface PCB Card A1206  Spare

ASML 859-8379-001-02 Single Board Computer PCB 851-8554-003E RadiSys 68-0056-10

ASML 859-8379-001B PCB Card 851-8554-003F SBX/STD BUS  Spare

ASML 9406.217.0100 Processor PCB Card PC 1701/00 PAS 5000/2500

ASML 9415 011 38511C Power Supply PCB Card PE 1138/51U ASML PAS 5000/2500

ASML PCB 4022.471.6817 Interface Board PCB Card

Aspect Systems 042-03354 TTL I/O Driver PCB Card Motay Lam 810-007215-001 Spare

Aspect Systems A210-0392-000 Serial I/O PCB Card Lam 810-000392-001

Aspect Systems A810-5672-001 TTL I/O Driver PCB Card Lam 810-007215-001

ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor

Associated Research 7550DT Dielectric Analyzer HYPOTULTRA II Spare Surplus

Astec 22946700-2 Power Supply ABBOTT IPLS 92694-106 OPTI-PROBE OP 7341

Astec 73-540-0523 Power Supply MP4-2Q-2Q-NNE-03 XP RB4-237-03

Astec 73-560-0699 Power Supply Module MP6-3U-1R-05

Astec 73-560-0732 Power Supply Module MP6-2Q-1E1Y-4NN-05

Astec AA80M-300L-015S AMPSS Power Module Reseller 13  Surplus

Astech ATL-100RA Matching Network RFPP AMAT 1110-01006 2 Untested As-Is

Astech ATL-100RA RF Matching Network RFPP AMAT 1110-01006 0900-01053

ASTEK AHT3-LAI-01 HT3 Packet Analyzer

ASTeX 00473103 ECR Power Supply EMS 20-125-2-D-10T-0697A Plasma-Therm

ASTeX 34-0017-02 Liquid Chemical I/O Smart Controller PCB Card

ASTeX 34-0153-00 X126 RS232 Remote Control Board PCB Card

ASTeX 80-P01-HP ESC Electrostatic Chuck Precision Power Supply ETO

ASTeX Applied Science & Technology ABX-X490 Driver Board PCB Rev. B ETO

ASTeX Applied Science & Technology AS04251 Power Distribution

ASTeX Applied Science & Technology AS-04319 Control Interface

ASTeX Applied Science & Technology AX3045-3 Smart Tuner Plasma-Therm SLR

ASTeX Applied Science & Technology AX3151 3.0kW Circulator MKS

ASTeX Applied Science & Technology AX7610NVL-S Downstream Plasma Source

ASTeX Applied Science & Technology DC7CPRUG Directional Coupler AMAT

ASTeX Applied Science & Technology FI20162-1 3.0kW Mag Head MKS

ASTeX Applied Science & Technology FI20164 3.0kW Precision Power Detector Spare

ASTeX Applied Science & Technology FI20625 Microwave RF Waveguide MKS

ASTeX ARX-X490 Driver Board PCB ABX-X490 AMAT Centura ETO Rack Untested Surplus

ASTeX ARX-X490 Driver PCB ABX-X490 AMAT Centura ETO Rack

ASTeX ARX-X491 Microwave Control Module AMAT Applied Materials 0190-00398 Spare

ASTeX D13550-U Microwave Plasma Detector Power Supply AMAT 3750-01131

ASTeX FI20638 3.5 kW Assembly Magnetron Head D13449 AG9131 A

ASTeX PC80172 HEOG Power/Interface PCB Assembly MKS SA87333-05 AX8400

ASTeX SXRHA 10kV 2.45GHz Microwave Head Assembly Plasma-Therm SLR 770

ASTeX TSM2 Microwave Waveguide Auto Tune Plasma-Therm SLR 770

Asuzac 60339-1937D Ceramic Arm End Effector  Surplus

Asyst 03330-001 Theta Arm Transport Unit Hine Novellus 94-1085 Aura 2000LL

Asyst 04290-101 Load Lock Elevator 94-1119 Rev. B A90-031-03

Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005

Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-805

Asyst 04290-101 Load Lock Elevator Rev. 0 GaSonics 94-1119 Hine Design

Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005

Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805

Asyst 04290-201 Process Chamber Elevator Novellus 94-1118 Nikon NSR-S205C

Asyst 04290-201 Process Chamber Elevator Rev. 0 GaSonics 94-1118

Asyst 04630-003 200mm Wafer Elevator Indexer GaSonics 94-1175 A-2000LL

Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002

Asyst 6331/27273 Handler

Asyst 6900-1551-01 Controller SMART PLUS 1.82.9.1.1 AMAT Excite

Asyst 6900-1551-01 Wafer Automation Controller SMART PLUS 1.62 AMAT Excite Spare

Asyst 853-4290-101 Load Lock Elevator GaSonics 94-1119

Asyst 9700-5158-03 300mm Load Port 300FL, S2.1 25WFR Incomplete for Parts As-Is

Asyst 9700-5970-01 300mm Load Port FA 300FL,S2.1 W/HAMA Nikon KAB11310/201-1

Asyst 9700-6149-01 300mm Load Port SMIF-300FL 9700-8020-08 AMAT Excite

Asyst Shinko 3FE113C004300 Servo Control PCB M131A SRVCP 4.5 SRVCP 4.3

Asyst Shinko HASSYC817100 SBC Single Board Computer OHT-CPU3A-G2-3

Asyst Shinko HASSYC817100 SBC Single Board Computer TKN-x86

Asyst Shinko VHT5-1-1 OHV Overhead Vehicle FOUP Transport Drive Assembly Spare

Asyst Shinko VHT5-1-1 OHV Overhead Vehicle SSOHT-300 300mm FOUP Wafer Transport

Asyst Shinko VHT7-1 OHV Overhead Vehicle SSOHT-300 300mm FOUP Wafer Transport

Asyst Technologies 04290-101 Load Lock Elevator 06763-005 Copper A-2000LL

Asyst Technologies 04290-101 Load Lock Elevator 94-1119 Rev. B A-2000LL

Asyst Technologies 05551-001 Ergo Loader Applied Precision 200mm WaferWoRx Spare

Asyst Technologies 05551-001 Ergo Loader Applied Precision WaferWoRx Dent As-Is

Asyst Technologies 06764-701 Arm Controller Board 06764-802 06768-001

Asyst Technologies 06764-701 Arm Controller Board 06764-802 Hine 2433-001 Spare

Asyst Technologies 0FH3000-001 Prealigner Hitachi I-900SRT

Asyst Technologies 111343 Robot Teach Pendant 8045R2-1-ES-ASYST

Asyst Technologies 14939-001 Robot End Effector Wafer Fork  Surplus

Asyst Technologies 3200-1112-01 PCB Card 3000-1112-01 5

Asyst Technologies 4002-5964-01 Linear Actuator Assembly Rev. A

Asyst Technologies 4002-7627-01 IsoPort Loader Assembly 3200-1225-02

Asyst Technologies 6900-1551-01 Controller ASM Epsilon 3000  Spare

Asyst Technologies 860 Vacuum Arm with Arm Controller Board PCB 06764001

Asyst Technologies 9700-5158-01 300mm Load Port SIMF-300FL

Asyst Technologies 9700-5158-03 300mm Load Port SMIF-300FL Incomplete As-Is

Asyst Technologies 9700-5819-01 FFU Fan Filter Unit Controller  Surplus

Asyst Technologies 9700-6148-01 300mm Load Port SMIF-300FL AMAT Excite

Asyst Technologies 9700-6149-01 300mm Load Port SMIF-300FL AMAT Excite

Asyst Technologies 9700-6209-01 Power Distribution Unit ASM Epsilon 3200

Asyst Technologies 9700-6209-01 Power Distribution Unit ASM Epsilon 3200 Spare

Asyst Technologies 9700-6209-01 Power Distribution Unit Rev. B

Asyst Technologies 9700-6209-01 Robot Power Distribution Center Epsilon & Switch

Asyst Technologies 9700-8106-01 SMIF-300FL Load Port 300FL S2.1 HAMA ROX

Asyst Technologies 9700-8107-01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84

Asyst Technologies 9701-1058-05A Interface Relay PCB Reseller 2

Asyst Technologies 9701-2775-02 Plus Portal Controller Qcept ChemetriQ-3000

Asyst Technologies 970-5158-01 300mm Load Port SMIF-300FL KLA CRS-3000

Asyst Technologies EMA 18-010968 G 4900 Loader

Asyst Technologies Mechanization Panel RD-323M10 GaSonics Aura A-2000LL

Asyst Technologies OFH-3000Q Wafer Prealigner Qcept CHEMETRIQ  Spare

Asyst Technologies Pneumatic PCB Assembly 300mm Load Port IsoPort 3200-1225-05

ATC Aztech Controls NVT-910-00298 Gas Panel Assembly  Surplus

ATH 500M Adixen V13121B6 Turbomolecular Pump Pfeiffer Turbo No Controller As-Is

ATH 500M Pfeiffer Vacuum V13121B6 Turbomolecular Pump Adixen

ATH 500M Pfeiffer Vacuum V13121B6 Turbomolecular Pump Adixen Turbo Fault As-Is

ATi Analytical Technology 07-0026 Dissolved Ozone Monitor Q45H 2 Surplus

ATi Series B12 Gas Detection Transmitter GasSens O2 O3 Sensor 10

ATI Technologies 100-430290 Radeon 7000 VGA PCI 32 MB DDR Reseller 13

ATI Technologies 100-430320 Radeon 7000 VGA AGP 32 MB DDR Reseller 14

ATLAS MK3B Edwards NRF033000 Gas Abatement Heater Controller

ATMI Packing CAMP-000235 CANISTER  Surplus

ATMI Packing CUST-UNIQUE-2D-PHOS Ampule Bubbler CVD AMAT 0226-96764 P5000 Spare

ATMI Packing CUST-UNIQUE-2D-PHOS Ampule Bubbler CVD P5000 4

ATMI Packing CUST-UNIQUE-2D-TEOS Ampule Bubbler CVD P5000 4

ATP-100 Alcab ATP-80/100 Turbomolecular Pump Turbo Adixen

Atriebstechnik M32x30/I + P32 DC Motor Mattson 933-14006-00 2  Spare

Attro Technology CI5TTV-1.00 Single Board Computer SBC PCB Card

ATX DVISMCD-9002-X MDU Solutions DVISm-Mini Digital Video Insertion Spare

ATX Solutions AR-AVDB1010 PCB Card MDU DV1CE DVISm-Mini DV

ATX Solutions DVISMCD-9002-X Deletion Filter Channel DVISm-Mini DV Spare

ATX Solutions MDUMB1020 Backplane PCB MDU DVISm-Mini DV

ATX Solutions NXP DEMOD DB1000 PCB Card MDU DVDM DVISm-Mini DV

August Technology 200749 Inspection Servo Amp I/O PCB 300581

Automation Direct D2-09B-1 9-Slot PLC Controller DirectLOGIC 205 Missing Covers

Automation Direct D2-09B-1 9-Slot PLC D2-16ND3-2 DirectLOGIC 205 Koyo Surplus

Automation Direct D2-09B-1 9-Slot PLC D2-240 DirectLOGIC 205 Koyo

Automation Direct D2-09B-1 9-Slot PLC D2-250-1 DirectLOGIC 205 Koyo

AVAL DATA 4S015-479 Processor PCB Card ACP-104ANK (e3A) Nikon NSR-S620D

AVAL DATA ACP-420 Driver PCB Card Nikon 4S025-569 NSR-S620D ArF

AVAL DATA AVME-342 Processor PCB Card FP10

Aviza Technology 161377-001 SW TEOS Vacuum Flange bbb Thermco VTR 7000

Aviza Technology 600053-01 Analog Output PCB Card

Aviza Technology 600058-01 Communication PCB Card

Aviza Technology 603374-01 Heater Burn-In Plug Spacer Assembly

Aviza Technology 929469-007 ASTeX Sorbios Ozone Generator Cable 70 Foot

AVO Multi-Amp 10E373N-1/60 PULSAR Universal Test Power On Tested Surplus

AVO Multi-Amp EPOCH-20 High-Current Output Unit Untested Spare Surplus

AX Corporation Test Module P5005 CUF005 A/V005 P/T005

Axcelis 572881 Module Control 300mm Fusion PS3  Untested As-Is

Axcelis 572881 Module Control Computer 300mm Fussion ES3 CES3590

Axcelis 595731 Module Control Fusion PS3 590561 RPC320027

Axcelis CRPC320024DRAW Module Control Fusion PS3 RPC320027 590561

Axcelis Microwave Waveguide Assembly ASTeX GL219 624811 Fusion ES3

Axcelis Microwave Waveguide Assembly GAE GA3107 10661 Fusion ES3

Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53

Axcelis Microwave Waveguide Power Supply GAE GA3107 H3862 Fusion E53

Axcelis Technologies 17122940 Graphite Aperture Post Accel Reseller 4

Axcelis Technologies 320281 Magnetron B Right High Voltage Terminal Block

Axcelis Technologies 320291 Magnetron B Left High Voltage Terminal Block

Axcelis Technologies 544621 8-Port Serial Interface PCB Card 544611

Axcelis Technologies 544622 8 Port Serial Card PCB 544612

Axcelis Technologies 572871 Module Power Distribution 300mm Fusion  Spare

Axiomtek EP820 Single Board Computer SBC PCB Rev. A2-RC Nikon NSR FX-601F Spare

Axiomtek PCA-6154 PCA Single Board Computer Pentium CPU PCB Card Rev. A3

Axiomtek SBC8168 SBC Single Board Computer PCB Full Socket 370 CPU Card

Axiomtek SBC8252 SBC Single Board Computer Pentium CPU PCB Card Rev. A1

Azbil DMC10 Distributed Multi-channel Controller PLC CMC10L001A000

Azbil PBZ-CL007V-006 WL-LD Sensor Head Emitter 6 Nikon NSR-S205C

Azco Industries VMUS-2 O3 Ozone Generator Ozonator Unit Surplus

Azco Industries VMUS-4 O3 Ozone Generator Ozonator Unit

Azores 83-0376-0 Laser Logic DC Power Supply 83-0377-0 Spare Surplus

B&R Automation 5PC720.1505-K16 15" Industrial Panel PC

Baldor BSM80A-375BA Brushless AC Servo Motor S2P117W009G1

Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320

Baldor BSM80N-375BA Brushless AC Servo Motor S2P117W045G1  Surplus

Baldor BSM80N-375BA Brushless AC Servo Motor with Gerwah Gearhead AKN 60

Balzers 1-Axis Pos. CPU VME Panel PCB PANCO-LAN Wyttenbach W322A Unaxis

Balzers BG 290 339 U Transber PCB Card BG290 339-U

Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU

Balzers BG 290 561 U Transber PCB Card BG 290 564 U

Balzers BG 290 561 U Transber PCB Card BG 290 565 U

Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U

Balzers BG 445 013-S Cooling Lid Motor Assembly BG 545 649-T  Untested As-Is

Balzers BG 525 460 AT Gas LC OU 101 PCB Card BG 525 462 BU

Balzers BG 525 460 AT Shutter bbbbbbbb OU 101 PCB Card BG 525 462 BU

Balzers BG 525 473 T 4-Channel Heater DA 101 PCB Card BG 525 424 T

Balzers BG 525 473 T Argon Pressure DA 101 PCB Card BG 525 424 T

Balzers BG 525 570 CT Power Supply PCB Card BG 525 574 BS

Balzers BG 525 570 DT Power Supply PCB Card BG 525 574 CS

Balzers BG 527 032 BU 24V Relay PCB Card BG 527 108 AS

Balzers BG 527 036 BU 24V Relay PCB Card BG 527 109 S

Balzers BG 531 470 T Panel Interface LSI 11 PCB Card BG531470T

Balzers BG 541 086-S/D Partial Pressure Gauge PCB Card EM 064

Balzers BG 541 087-S/B Partial Pressure Gauge PCB Card RF 064

Balzers BG 542 164 W Control Module PCB Card PC 201 PC201

Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T

Balzers BG 542 341 T Address Control BL 201 PCB Card BG 542 344 T

Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101

Balzers TPG Vacuum Controller Rack TPG 300 TPG 251 bbb Tokyo Electron Surplus

Barnstead Thermolyne D5835 DI Water Cooling Varian 115689001 Rev. 5 Surplus

Barnstead Thermolyne D5835 DI Water Cooling Varian 115689001 Rev. 6

Barnstead Thermolyne PC1997X1 Arm Flush Extender PCB Assembly PCX20

Bay Engineering BES-6173-0001 Pneumatic Manifold PCB AMAT 0190-76167 Surplus

Bay Pneumatic BES-4235 Circuit Board PCB bbb 90S

Baytek AVN BayView 72 Video Card PCB ASM 830065865 Untested AS-IS

Beaglehole Instruments 1.1kV Photomultiplier HV Supply Preamp

Beckhoff EJ8905 Signal Distribution PCB EJ1101 EJ2889 AMAT 0195-15559

Beckman Coulter CEQ 8000 Genetic Analysis 607401 Spare Surplus

Beckman Coulter Diode Array Detector Module AB Sciex Eksigent Untested Surplus

Beckman Coulter Laser Interconnect Module AB Sciex Eksigent Untested Surplus

Beckman Coulter LIF Detector Module PMT100(0) AB Sciex Eksigent Untested Surplus

Beckman Coulter P/ACE MDQ Capillary Electrophoresis 144003 Spare Surplus

Beckman Coulter PDA/UV Module LIF Detector AB Sciex Eksigent Untested Surplus

Beckoff Automation 10033158 Planetary Gear AG3210-+NP-045S-MF2-100-1I1-1S

BECO MFG DV2-PVDF-32-C-EP VALVE EE WASH DRAIN

Bede BedeMetrix Diffractometer Goniometer 300mm Sample Stage Assembly As-Is

Bede Scientific Instruments BEDE4PH2CHV1.1 Processor Board PCB Card

Bede Scientific Instruments D1G 001/2 X-Ray Microsource Assembly Untested As-Is

Bede Scientific Instruments MSOURCE Type A Microsource Assembly Untested As-Is

Beijer Electronics 04410B Touchscreen Operator Interface Panel E615

Bel TCP4000-H090 Liquid Cooled Dual Power Supply 4000W AC-DC Converter Surplus

Bel TCP4000-H090 Power Supply 4000W AC-DC Converter Reseller 5 Surplus

Benning D480G48/200 Modular DC Power Rectifier TEBECHOP 12000 Dented Untested

Benning G48D208/28 Modular Inverter INVERTRONIC Modular Dented Untested Surplus

Berkeley 100-000-929 Automation 8-Axis 6-Drive Motion Controller BX-300

Berkeley Process Control BAM-232T 2-Axis Machine Controller Bam-232 Turbo Spare

Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amp

Berkeley Process Control USA2-22-35 Multi-Axis Universal Servo Amplifier

Berthel 0038-H-000100 Communication PCB Card S5-ARCNET Balzers Unaxis

BigBand Networks BMR1200A Broadband Multimedia-Service Router MNG1 Arris Surplus

Bionics Instrument Co. STX-1550PA Gas Transmitter F2 0-4% PureAir STX-PA

Bionics Instrument Co. STX-1550PA TRANSMITTER H2 0-4% PureAir ASM 63-119074A30

Bionics Instrument Co. STX-1551HT Smart Transmitter STX-HT ASM 63-119074A17

Bio-Rad 200mm Wafer Stage Quaestor Q7 Kensington

Bio-Rad PMM10000 Pneumatic Wafer Aligner Module Quaestor Q5

Bio-Rad PMM40000 Pneumatic Handler Module Quaestor Q5

Bio-Rad X18 Xenon Light Source Quaestor Q Series

Bio-Rad Y5301266P AIMS DC Servo Board PCB Card Y5301267 Quaestor Q7

Bio-Rad Y5304300 DC Servo PCB Card HCTL.7 Quaestor Q5

Bio-Rad Y5304400 Real Time Stage Reader PCB Card MOD 1 Quaestor Q7  Spare

Bio-Rad Y5304400 RTZ Real Time Stage Reader Board PCB MOD 2 Quaestor Q5

Bio-Rad Y5304601 Video Controller PCB Card Quaestor Q5

Bio-Rad Y5304601 Video Controller PCB Card Quaestor Q5 No Pull Tab  Spare

Bio-Rad Y5304800P RATS Interface Board VME PCB Card Y5304803 Quaestor Q7

Bio-Rad Y5304800P RATS Interface Board VME PCB Card Y5304803 Quaestor Q8

Bio-Rad Y5304803 RATS Interface Board VME PCB Card Quaestor Q7

Bio-Rad Y5304900 DSF VME Interface PCB Card Y5304901 Quaestor Q7

Bio-Rad Y5304902 DSF VME Interface PCB Card Y5304903 Quaestor Q8

Bio-Rad Y5305020A Issue B PCB Card Y5305021 Quaestor Q7

Bio-Rad Y5305021 Issue B PCB Card Quaestor Q7

Bio-Rad Y6000000 Xenon Light Source Quaestor Q5 Overlay Measurement Spare

Bio-Rad Y6300000 Xenon UV Light Source Quaestor Q7 Overlay Copper Spare

Bio-Rad Y7604100 200mm Wafer Handler Quaestor Q5 PMM40000 Y7601000  Spare

Bio-Rad Y7653000 Pneumatic Handler Module Quaestor Q5

Bio-Rad YS301266 AIMS DC Servo Board PCB Card YS301267 Quaestor Q7

Blackstone Ultrasonics 910217 WJ Tank

Blatek AT13222 Transducer Plate  Surplus

Blue M OV-560A-2 Stabil-Therm Constant Temperature Cabinet

Blue Wave Systems BDV44 PCB VMEbus Card ASML 4022.470.6181 4022.471.5852

Blue Wave Systems DV44-50 PCB VME Card ASML 4022.471.6181

BluePoint Controls 180301 Chamber Arc Detector PCB 4 AMAT

BluePoint Controls 181020 Chamber Arc Detector PCB 3 AMAT

BOC Edwards C150Y09503C GRC Gas Reactor Column Cartridge C150Y

Bonn Cooling Systems 19" Water Chiller Unitronics M-90 Untested Surplus

Bosch 1070917160 Servo PCB Module SM 3.5/8 G16 B48359-003 MOOG

Bosch 1070917161-101 Servo Module SM-4.7/20-G16 B48674-003 MOOG

Bosch 13FWB-PCI3202A PCI PCB Card Kit FWB-PCI3202 FEI Company 4022 268 02242

Brad Communications SST-EDN-1 DeviceNet Scanner AMAT 0190-29030 2

Brad Communications SST-EDN-1 DeviceNet Scanner AMAT 0190-60305

Brad Communications SST-EDN-1 Remote DeviceNet Scanner AMAT 0190-29030

Brewer 4649409-0001 CPU Board Processor Board PCB Card CEE 4000 Untested AS-IS

Bronkhorst F-202AC-FA-99-V Mass Flow Meter/Controller MFC Mattson 37100203

Bronkhorst Hi-Tec F-201C-FAC-88-V Mass Flow Controller MFC Mattson 37100134

Brookfield LVDV-II+ PRO Digital Viscometer with Stand

Brookhaven 10720111-E X Scan Gen PCB Card P1-22C Varian Ion Implant E20000185

Brookhaven 10720199 Y Scan Gen PCB Card Rev. A Varian E20000183

Brookhaven 10720199 Y Scan Gen PCB Card Rev. C Varian E20000183

Brookhaven 10720199 Y Scan Gen PCB Card Varian Ion Implant 108841001  Surplus

Brookhaven 25520301 HV DC Offset Supply SCANMASTER II Varian E22000032

Brookhaven 25520302 DC Offset Supply SCANMASTER II Varian E22000033

Brookhaven 25520302 HV DC Offset Supply SCANMASTER II Varian E22000033

Brookhaven 25520302 HV DC Offset Supply SCANMASTER II Varian E22000033 As-Is

Brookhaven 25620094 Solid-State Scan Amplifier SCANMASTER SM4000 Varian As-Is

Brookhaven 25620094 Solid-State Scan Amplifier SCANMASTER SM4000 Varian Surplus

Brookhaven 25620298 Solid-State Amplifier SCANMASTER II Varian E20000180

Brookhaven Instruments 25520160 HV DC Offset Supply SCANMASTER II Varian

Brookhaven Instruments DDC 1000 Current Source Remote Panel Varian VSEA

Brookhaven Instruments DOSEMASTER 350 Dose Controller Varian VSEA  Spare

Brookhaven MS14-2 Genus Current Integrator Varian VSEA P129695

Brookhaven SCANMASTER II Scan Amplifier 25620094 SM 3000 Varian E20000173 Refurb

Brookhaven SCANMASTER II Scan Amplifier 25620094 SM 3000 Varian E20000173 Spare

Brookhaven SM 350 Solid-State Amplifier SCANMASTER II Varian E20000180

Brooks 001-1570-97 Dual Robot Arms MTR5 MultiTran 5 Novellus 22-027510-00 Spare

Brooks 001-1570-97 Dual Robot Arms MTR5 MultiTran 5 Novellus Concept 2 C2 As-Is

Brooks 002-7391-08 300mm Wafer Prealigner 750-614710-000 KLA-Tencor eS31

Brooks 002-7391-15 300mm Wafer Prealigner Thermo Nicolet ECO 3000 FT-IR

Brooks 002-8538-04 Prealigner Interface Cable Set of 2 KLA-Tencor eS31

Brooks 002-8841-01 ATR8 Robot Interface Cable Set of 2 KLA-Tencor eS31

Brooks 01-0624R-022 Mass Flow Controller MFC 5964 N2 2 OEM

Brooks 02-0624R-031 Mass Flow Controller MFC 5964 N2 2 OEM

Brooks Automation 001-1570-97 Dual Robot Arms Novellus 22-11341-00

Brooks Automation 001-4700-12 Genus InCooler Cooling Station

Brooks Automation 001-5211-01 Viewport Transfer Chamber Ulvac Technologies

Brooks Automation 001-5370-02 Dual Robot Arm VacuTran 5 MTR5

Brooks Automation 001-5370-02 Dual Robot Arms VacuTran 5 MTR5 Set of 2 Surplus

Brooks Automation 001-5370-46 Dual Robot Arms VacuTran 5 MTR5 Set of 2 Surplus

Brooks Automation 001-5370-69 VacuTran 5 MTR5 Dual Robot Arm

Brooks Automation 001-7338-09 Robot MagnaTran 60 Lam FPD Continuum Spare As-Is

Brooks Automation 001-7600-02 Dual Arm Robot VacuTran 5 VTR5 001-5370-46

Brooks Automation 001-7600-02 VacuTran 5 VTR5 Transfer Robot Ulvac Enviro II

Brooks Automation 001-7600-07 MTR5 Wafer Transfer Robot MultiTran 5 Surplus

Brooks Automation 002-0000r02 Wafer Handling Robot Untested As-Is

Brooks Automation 002-0921-11 Wafer Chuck Robot KLA-Tencor eS20XP  Spare

Brooks Automation 002-7200-21 200mm Wafer Load Port FEI CLM-3D

Brooks Automation 002-7200-21 Wafer Load Port KLA-Tencor 750-614044-000

Brooks Automation 002-7326-07 ATR7 Robot Drive Arm Copper Exposed

Brooks Automation 002-7391-08 200mm Wafer Prealigner FEI CLM-3D

Brooks Automation 002-7391-33 Wafer Prealigner CHE

Brooks Automation 002-7391-38 Wafer Prealigner CHE Copper Cu Untested As-Is

Brooks Automation 002-7391-38 Wafer Prealigner CHE Untested As-Is

Brooks Automation 002-9400-04 Robot Controller Series 8 FEI CLM-3D

Brooks Automation 013077-054-20 300mm Wafer Load Port FIXLOAD 25

Brooks Automation 013077-079-20 300mm Load Port FIXLOAD 25

Brooks Automation 013083-780-14 Front Plate FIXLOAD V6  Surplus

Brooks Automation 015-0804-01 Wafer Aligner Gimbal Assembly

Brooks Automation 017-0266-01 Wafer Handling Robot Reliance 017-0950-01 As-Is

Brooks Automation 017-0484-01 Wafer Handling Robot Reliance Untested As-Is

Brooks Automation 017-0950-01 Reliance 200mm Wafer Handling Robot CLM-3D

Brooks Automation 02-169208-00 ATM Wafer Handling Robot Untested As-Is

Brooks Automation 06-80010598-001 Techware 5 Controller 15-XAHFH Rev. C

Brooks Automation 06-80010598-001 Techware 5 Controller 5136-PFB-VME

Brooks Automation 06-80010598-001 Techware 5 Controller 920-057

Brooks Automation 06-80010598-001 Techware 5 Controller Rev. D 920-057

Brooks Automation 06-80012171-001 Techware 5 Express Controller Rev. F

Brooks Automation 10081 Processor Board PCB Rev. A

Brooks Automation 1-0125 Wafer Handling Robot KLA-Tencor eS20XP

Brooks Automation 116199 Interface Board Backplane PCB

Brooks Automation 119215 Wafer Handling Robot Controller Series 8

Brooks Automation 129973 Wafer Handling Robot Series 8 Controller  Spare

Brooks Automation 146828 Series 8 Robot Controller 10000  Spare

Brooks Automation 146828 Series 8 Robot Controller CHE  Spare

Brooks Automation 6-0002-0443-SP Robot Rail TRA 035-LPS KLA 0014445-000 AIT

Brooks Automation 8020EKR2-1 Robot Teach Pendant Novellus 19-140844-00  Spare

Brooks Automation 8127305G001 P300 Processor Board PCB CTI-Cryogenics On-Board

Brooks Automation 8127307G001 P300 Power Board PCB CTI-Cryogenics On-Board Spare

Brooks Automation 8600-65BAJ 200mm Wafer Indexer

Brooks Automation BM22462L01 Horizontal Transfer Assembly F33

Brooks Automation BM23100L03RB Processor PCB Card

Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port

Brooks Automation PRI Wafer Robot Controller Computer PC Summit 486DX2 As-Is

Brooks Automation TEC-300 Controller SMC-S PRI FEI CLM-3D

Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245

Brooks Dual Robot Arm VacuTran 5 MTR5 Reseller Parts 13 001-5370-** Spare

Brooks GF125C-101343 Mass Flow Controller MFC 240 SCCM SiH4 2

Brooks GF125C-104429 Mass Flow Controller MFC GF125CXXC 500 SCCM WF6  Surplus

Brooks GF-125CXXC Mass Flow Controller MFC N2 Reseller 7

Brooks Instrument 5964 Series Mass Flow Controller MFC 17  Spare

Brooks Instrument 6256SBZVCLA Mass Flow Controller 6256S Lam 797-901198-310

Brooks Instrument GF 125C Mass Flow Controller MFC Reseller 12

Brooks Instrument GF120C-202273 Mass Flow Controller MFC 50 SCCM C4F8

Brooks Instrument GF120CXXC Mass Flow Controller MFC GF120 Reseller 10

Brooks Instrument GF120X-916897 Mass Flow Controller MFC AMAT  Surplus

Brooks Instrument GF-125C Mass Flow Controller MFC GF-125 GF125CXXC 14

Brooks Instrument GF125CXXC Mass Flow Controller MFC GF125C Reseller 12

Brooks Instrument Mass Flow Controller MFC GFEVAL GP200C GF126C GF125C 11

Brooks Instruments 0550EB14H5DA Mass Flow Controller MFC 0550E 10 SLM H2

Brooks Instruments 0550EB25H1EA Mass Flow Controller MFC 0550E 200 SLM H2

Brooks Instruments 0550EB32H1DA Mass Flow Controller MFC 0550E 300 SCCM H2

Brooks TT1ENR2-1-TVS-ES-BROOKS8 Robot Teach Pendant Novellus 38-032598-00

Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU

Bruce Technologies 73510-9760158 Process Control Unit 7351C 3181181 Spare

Bruce Technologies 7351C-9760158 Process Control Unit 7351C 3181181 Spare

Bruker 200.0058 1 TITAN Benchtop Stand for Handheld XRF Analyzers  Spare

BTU Engineering 3161161 I/O Assembly PCB Card 3162280

BTU Engineering 3161181 Video Assembly PCB Card 3161180

BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200

BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3

BTU Engineering 3161525 Analog I/O Microprocessor VME PCB Card 3161521

BTU Engineering 316195V05 Microcontroller PCB Card 3161950

BTU Engineering 3162012 Signal Processor Pyrogenic Oxidation VME PCB Card

BTU Engineering 3162154 Logic Processor Pyrogenic Oxidation VME PCB Card

BTU Engineering 3162281V02 Communication Interface II PCB Card 3162280

BTU Engineering 3162432V02 Paddle and Door Control PCB Card 3162430 As-Is

BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1

Burklin ADP 31/01 PCB Card Karl Suss MJB 55 Wafer Mask Aligner

Burr-Brown MPV952-2 Processor VMEBus PCB Card Varian 108999001

Cabletron Systems 9000342-04 Processor PCB Card Lumonics LW-CO2

Cadence 2500000006399 Power Supply Unit PSU for Palladium III Emulator

CALMETRICS S07494-2 ISO17025 Accreditation Cert L2319 Set

CALMETRICS SCU 200 400 800 Thermo Fisher Calibration Set

Cambridge 3805 Compound Balance CVD Belt CB3-94-184-21-24 12x3/16" x 301"

Cambridge Technology 6860 Scanning Mirror Assembly Set of 2

Canon Anelva A12-00776-05A Bellows Cylinder 80x60 ST Set of 4 for 1015i

Canon BG9-4877 DISK-UNIT II PCB Card DK522C-10 FPA-2500 i3 Stepper  Spare

Canon BH4-0993-01 Extender Board PCB Card BG9-1045 Reseller 3

Canon BH8-0510-01 Drive Extender Board PCB Card BG9-4152  Spare

Canon BH8-0899-01 Drive Extender Board PCB Card BG9-4147  Spare

Canon BH8-1976-01 EBR Driver PCB I4/I5 BG4-8312 BG8-3355

Capacitec 4004-P115 Capacitive Displacement Modular Amplifier 4100-S

CAPRES A/S Copenhagen Applied Research 330010 PLC Unit KLA

CAPRES A/S Copenhagen Applied Research 330011 Power Supply Unit KLA

CAPRES A/S Copenhagen Applied Research 330014 Bridge Box M300/A300L

CAPRES A/S Copenhagen Applied Research 700901 3U Client Computer Unit M300 Spare

CAPRES A/S Copenhagen Applied Research M300 Microscope Inspection Unit

Cardos U1-209 Shutter Beam PCB Veeco Microetch 1201

Carl Zeiss 45 19 38 Electronic Microscope Camera Head 451938

Carl Zeiss 45 28 25 Microscope Body Axiotron with Stage  As-Is

Carl Zeiss 45 74 92 Microscope AF Controller 457492

Carl Zeiss Axiotron MEG Inspection Microscope 4 Incomplete As-Is

Carten 1018-538 Moisture Analyzer Connection  Surplus

CB3500 Comdel FP7526RA RF Generator 3.5kW @ 27.12MHz Surplus

CDE Creative Design Engineering 4630C Resistivity Mapping ResMap Spare

Celerity 9010-02083ITL Fluid Systems Gas Panel  Untested As-Is

Celerity FC-260V-4V Mass Flow Controller 54-106903A54 IN2 200SCCM H2

Celerity FC-261KZ-4V Mass Flow Controller 54-106902A24 20 SLPM HCl

Celerity FC-261V-4V Mass Flow Controller MFC 54-106904A07 20 SLPM H2

Celestica V019219U-001 VRM & Heatsink A2210 Upgrade 145

Century Systems FA-21Nil RS-232 FutureNet FA-21 Nikon 4S587-600-1 NSR-307E Spare

Ceramatec C7-01-1000-16-0 PureN2 Portable Oxygen Analyzer

CFF 450 TURBO Alcab 8220 Turbomolecular Pump Controller Turbo

CFM 13 798 Vessel Module Power Valve Card Festo IC-8M3/2-0,9-24 PH

CFM Technologies 22024-02 Relay PCB Card B11/01

CH1000 CHILLER Thermo Fisher 197113010000 Recirculating Silicon Thermal

Chiba Precision BLGY-01 1/36 AF Halving Motor TNC-153917G Nikon NSR-S610C Worki

Chiba Precision D34R10B Servo Motor

Chiba Precision EC-214438G Servo Motor SFJ-08 Gear Head Nikon NSR Series

Chiba Precision ENC-153902G Servo Motor SJP Nikon NSR

Chiba Precision ENC-184118G Servo Motor SMP-27 Nikon NSR

Chiba Precision ETC-214441G Servo Motor BLGPN NSR

Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR Series

Chiba Precision SMP-30 1/16 HF Halving Motor X-8700 Nikon NSR Series

Chiba Precision SMP-30 1/6 AF Halving Motor X-8701 Nikon NSR Series

Christie 003-110235-01 3 Chip Panel Driver PCB Assembly 015-100071-01

Christie 03-260723R01P 3 Chip Panel Driver PCB 50-000723-01P

Christie 1.2:1 0.95" SXGA+/MINI-DC2K Front Projection HD Long Focal Lens

Christie 1.2:1 0.95" SXGA+/MINI-DC2K HD Long Focal Projector Lens Minolta Spare

Christie Digital Systems 003-120118-01 Projector Lamp CRMAX HD2Kc HD3K 500w

Christie Digital Systems 015-100023 Warping Module PCB MATRIX S+2K  Spare

Christie Digital Systems 03-280725-01P MOD Formatter Blue PCB MATRIX S+2K Spare

Christie Digital Systems 03-280725-01P MOD Formatter Red/Green PCB MATRIX S+2K

Christie Digital Systems 50-000689-07P Ten Bit Image Processor PCB MATRIX S+2K

Christie Digital Systems 50-000718-03P Backplane Module PCB MATRIX S+2K

Christie Digital Systems 50-000723-01P Panel Driver Module PCB MATRIX S+2K Spare

AMAT XR80 150mm Implanter Interface


Lam Research 4420 Etching System 200mm 4528 PDW2200 RPC...


Stratasys Inc. FDM Quantum Fused Deb Modeling Sy...


Tokyo Electron ACT 12 Cassette Block 200mm


Materials Research Corp. MRC Eclipse Star Sputtering Sy...


Materials Research Corp. MRC Eclipse Star System as-is


AMAT Quantum Leap II Process Control Rack


EEJA Jupiter Electroplating System E452-C00000 working ...


AMAT Centura RTP 300mm Chamber Tool


Novellus Concept Two II Altus Deb Tool DLCM


BioRad Wafer Inspection Tool Quaestor Q8 48610000 X18 2...


AMAT XR80 Implanter Gas Box Module Untested


Nova NovaScan 3060 Meas. Unit Wet System new 3920-00492


Nova NovaScan 3030 Dry Meas. Unit 300mm new 3920-00563


AMAT Applied Materials Desica Scrubber


KLA-Tencor 8010 Surfscan AIT Inspection Tool 200mm ATM-...


Ultrapointe 1300R Metrology Inspection Tool 300mm


Hitachi S-9300 CD SEM Tool 300mm Complete


KLA Tencor KT2401 Micro Defect Inspection Tool VIPER240...


bbb Unity 2 Etcher Tool U2-855DD 200mm untested


Lam Rainbow 4720 Etcher Tool 150mm 4420 OEM-650A


LAM Rainbow 4720 150mm Etcher Tool 4420 OEM-650A XL


Plasma-Therm Industrial Products Core Interface


Kokusai Vertron 3 VDF LPCVD Vertical Diffusion Furnace ...


Daihen Advanced Microwave Generator System ATM-30A 0190...


Applied Materials AMAT Quantum Leap 3 Process Module Wh...


KLA 2131 Defect Wafer Inspection System Working


Pfeiffer WS6000 Combiline Dry Vacuum Pumping Station


KLA-Tencor Prometrix FT-750 Film Thickness Measurement


Lam Research 4420 Etcher System 442D11 233-2088-53 2080...


Lam Research 4420 Poly Etching System 4428 2080TCU OEM-...


Lam Research 4420 Poly Etching System 200mm RPC2-28W-RN...


Lam Research 4420 Poly Etching System 200mm 2080TCU OEM...


Kawasaki TS220-D511S Robot 50607-1222 new


CFM Water Purification System Full Flow 4/97 Astex


Rorze FABS-202 Wafer Transfer Station IVRR8150-W01-00?6 ...


KLA Interface 300DFF1P Asyst Iso Port 9700-9129-01


KLA Interface 300DFF1P Asyst Iso Port 9700-9129-01


Rorze FABS-202 Wafer Transfer Station IVRR8150-W01-00?5 ...


Nikon NSR-S307E Control Board Cage A6pci7500

ENI Spectrum B-5002 RF Generator 0190-15320 working

Matrix Ind Chem Bath/Fume Hood I98BD/H-ASSY + Aquasonic

ENI DCG-200 DC Power Supply Slave DCG-200Z 0190-07969

Nova NovaScan 840 210-48000-01 New 0650-01111

Nova NovaScan 420 210-48000-01 New 0650-01111

Nova NovaScan 420 210-48000-01 New 0650-01111

Hitachi 3-845612 Kyoto Denkiki KDS-20170S Power Supply

Hitachi DC Power Generator 3-821755-2 New

AE AZX63 Automatch RF Match 3155031-023A refurb

Lam 200mm Chamber 853-073087-600-A-262 working

Asyst Reticle SMIF Indexer R150 new 9700-4314-01 Rev.E

Asyst Reticle SMIF Indexer R150 9700-4314-01 new

Varian TV 300HT Vacuum Turbopump 9699037S008 new

Leybold Inficon Gas Analyzer Transpector CIS 2 untested

Nikon SPA431B Linear Motor Controller 4S587-241 

MicroVision 200mm Wafer Sorter MVT 7080 Sorter working

Varian TV 250 Vacuum Turbopump 9699007S024 refurbished

ENI DCG-200E DC Power Supply Slave 0190-22935 new

AMAT XR80 Implanter Gas Interface 0090-91890ITL

ASML Twinscan BP Mirror 193NM 4022.454.9932.2 new

Seiko Seiki Vacuum Turbopump STP-301 New

Lucas Labs CAT Process Chamber Assurance Tool

AMAT XR80 Implanter Gas Interface 0090-91819 ITL

Nicolet ECO 1000S Metrology Tool untested

AMAT XR80 Implanter Gas Interface 0090-91890 ITL

AE Apex 5513 RF Generator 5.5kW working

Hitachi Transfer Clean Robot CR-712V working

Leybold Vacuum Turbopump Turbovac 1000 C working

Lam OnTrak DSS200 Wafer Scrub bbbbb Station 12-8892-084

Nikon Optistation 3 Wafer Loader Assembly

AE Apex 5513 RF Generator 5.5kW 0190-11209 working

ENI OEM-12B3 3 Phase RF Generator 1250W new 0190-76006

Leybold Coolvac 1500 SemiLine Cryopump working

Electroglas Horizon 4085X Wafer Prober 200mm untested 

Genmark Precision Automated Transfer Robot working

Edwards iQDP80 Vacuum Pump QMB250 Blower, Needs Rebuild

MRC 200mm Eclipse Magnet Assy. A120364 new

Hitachi M-308ATE PCB Cage System Controller Module

Yashibi YCC-18K-X DC Pwr Supply 5kV 500mA Hitachi M-308

AMAT XR80 Implanter Gas Interface 9090-00106 ITL

ENI DCG-200Z DC Plasma Generator 10kW DCG-200 working

Raymond Pacer 60C40TT Stand Up Fork Lift 4000# 183" 36V

ENI DCG-200Z DC Plasma Gen. 10kW working 0190-07959

Asyst SMIF-300FL Load Port 300FL,S2.1 HAMA/E-84

Alcab Vacuum Turbopump 5401 

Edwards iQDP80 QMB250 Dry Vacuum Pump working

Edwards iQDP40 Dry Vacuum Pump QMB250 Blower as-is

Neslab DI Max DEI Water to Water Chiller

Nikon SPA236A Linear Motor Controller 4S586-947-2 

Nikon SPA432B Linear Motor Controller 4S586-950-4 

Nikon SPA432A Linear Motor Controller 4S586-949-4 

SMC Thermo Chiller INR-497-032 working

AE RF Match Network 3155031-021A AMAT 0190-01402

AMAT Quantum Leap III Ion Source IHC 0040-50527

Thermo Neslab DI Max Water To Water Chiller 0190-06335

AE Navigator RF Match 3155169-001D 0190-15007 working

Kawasaki CCD Prealigner 3NS003S-C201 working 0190-17388

ChemWest Automated Slurry Filter Cabinet 420518 working

Ebara ET300WS-A Vacuum Turbopump 3620-01449 rebuilt

Ebara ET300WS Vacuum Turbopump 3620-01449 rebuilt

AMAT Local RF Match 0010-08323 Rev.007 working

AMAT Top Local RF Match 0010-08322 Rev.002 working

AMAT Top Local RF Match 0010-08322 Rev.007 working

AMAT Top Local RF Match 0010-08322 Rev.003 working

Trazar Corp. RF Match AMU10H-1 working

Varian Plasma Flood & Bias Supply E11020501 working

Kawasaki Robot Controller C62C-A002 working

MECS Wafer Handling Robot UTM-3500 with Controller

AE PDX 900-2V RF Generator 3156024-110A working 900W

AE PDX 900-2V RF Generator 3156024-110B working 900W

AE PDX 900-2V 3156024-110C RF Generator 0190-75075

AMAT Top Local Match 300mm Ultima 0010-08322 working

Seiko Seiki Turbopump Controller SCU-H1000C STP-H1000C

Seiko Seiki Turbopump Controller SCU-H1000C STP-H1000C

Hitachi 3-833535-1 Pearl Kogyo RF Generator RP-300 Rfrb

Despatch Tool Conditioning Oven SNB2-24-S working

Genmark Automation Robot Controller S08R v.11 working

Genmark Robot Controller SMALL 990010622A1 working

Equipe PRI Transfer Robot ABM-407B-1-S-CE-S293 working

Genmark Automation Robot Controller S08P4.RD working

Genmark Classic Transfer Robot working

AE Navigator RF Bias Match 3155126-009A working

Kensington Robot 15-3702-1425-25 rebuilt 0190-22248

Varian Turbo Pump TV 301 rebuilt 7698918

Yaskawa Robot Controller XU-CM7001 working

AE VHF 2060 RF Generator 3150852-005A 0190-14416

Asyst Transfer Robot UTX-F5500-16 working 0190-14191

Atto Purex Ar Gas Purifier AP-RM-050 working

LAM Lower Electrode Match Box 853-440656R001 Refurbshd

Shimadzu Vacuum Turbopump TMP 280-L new

Brooks Automation Transfer Robot ABM-407B working

Equipe PRI Transfer Robot ABM-407B-1-S-CE-S293 working

Brooks Automation Robot ABM-405-1-S-CE-S293 working

AE Apex 3513 RF Generator 3.5kW refurbished 0920-00005

AE HFV 8000 5kW RF Generator 3155083-109B working

PRI Transfer Robot ATM-104-1 working

Equipe PRI Robot Controller ESC-218BT-FWS working

Yaskawa Robot Controller PAC/ELC2 SRC-II 006 working

Lam Advanced Energy 4MHz Bias Match 660-095275-005 New

Brooks PRI 300mm Prealigner PRE-300B-CE working

Ebara A10S Vacuum Dry Pump tested working

Equipe PRI Automation 300mm Prealigner PRE-301B

AE Navigator RF Match 3155126-020A working 0190-19024

Daihen RF Match RMN-50N4 working 0190-19174

AE HFV 8000 RF Generator 3155083-111A 5kW 0190-09841

Yaskawa Robot Controller XU-CN1110A working

Leybold Turbopump Controller MAG.DRIVE digital new

Sumitomo Electric Industries, Ltd. ADE1 H Control Unit

MECS Corp. UTV-2500H Robot Controller CS-7000 working

Nikon 4S587-171-1 Linear Motor Driver X32D1-0001 

Newport Kensington Robot 35-3700-1425-18 rfb 0190-22248

Newport Kensington Robot 15-3701-1425-25 refurbished

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

Nikon NSR 200mm Wafer Prealigner Z-8XB-D4915 working

Yaskawa Robot Controller XU-CM7001 working

AE VHF 2060 RF Generator 3150852-005A 0190-14416

Asyst Transfer Robot UTX-F5500-16 working 0190-14191

Atto Purex Ar Gas Purifier AP-RM-050 working

LAM Lower Electrode Match Box 853-440656R001 Refurbshd

Shimadzu Vacuum Turbopump TMP 280-L new

Brooks Automation Transfer Robot ABM-407B working

Equipe PRI Transfer Robot ABM-407B-1-S-CE-S293 working

Brooks Automation Robot ABM-405-1-S-CE-S293 working

AE Apex 3513 RF Generator 3.5kW refurbished 0920-00005

AE HFV 8000 5kW RF Generator 3155083-109B working

PRI Transfer Robot ATM-104-1 working

Equipe PRI Robot Controller ESC-218BT-FWS working

Yaskawa Robot Controller PAC/ELC2 SRC-II 006 working

Lam Advanced Energy 4MHz Bias Match 660-095275-005 New

Brooks PRI 300mm Prealigner PRE-300B-CE working

Ebara A10S Vacuum Dry Pump tested working

Equipe PRI Automation 300mm Prealigner PRE-301B

AE Navigator RF Match 3155126-020A working 0190-19024

Daihen RF Match RMN-50N4 working 0190-19174

AE HFV 8000 RF Generator 3155083-111A 5kW 0190-09841

Yaskawa Robot Controller XU-CN1110A working

Leybold Turbopump Controller MAG.DRIVE digital new

Sumitomo Electric Industries, Ltd. ADE1 H Control Unit

MECS Corp. UTV-2500H Robot Controller CS-7000 working

Nikon 4S587-171-1 Linear Motor Driver X32D1-0001 

Newport Kensington Robot 35-3700-1425-18 rfb 0190-22248

Newport Kensington Robot 15-3701-1425-25 refurbished

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

Nikon NSR 200mm Wafer Prealigner Z-8XB-D4915 working

Brooks 200mm Wafer Aculigner Prealigner 002-7391-17

KLA-Tencor 400-18893-000

RF Services Inc. RF Match RFS 5005 icp 5kW working

Kawasaki Wafer Prealigner 3NS004S-C001 working

KLA-Tencor 45-143224

RF Services Inc. RF Match RFS 5005, 5kW icp working

Brooks 300mm Wafer Aculigner Prealigner 002-7391-22

KLA-Tencor 750-614710-000

SMC Heat Exchanger INR-498-P002 New 0190-18418

SMC Heat Exchanger INR-498-P002 New 0190-18418

bbb ACT 12 IRA Driver Assy CT2987-416031-W4 working

bbb ACT 8 IRA Driver Assy CT2987-406077-15 working

AE Navigator RF Match 3155169-007A working

Affinity Lydall Chiller PWD-020K-CE70CBD2 0190-01490

Equipe PRI Transfer Robot ABM-507B-2-S-CE-S293 working

Seiko Seiki Turbopump Controller STP-A2202P new

Brooks PRI Transfer Robot WTM-409B-2-S working

Komatsu AMAT Enrgy Saving Chller AK-1000 new 3380-00033

AE Advanced Energy RFPP RF Match 7621993020 working

Lam 660-095275-003

AE Advanced Energy 2500W RF Match 3150275-007G working

Lam 660-095275-005

AE Advanced Energy Navigator 1013 RF Match 3155126-017A

Equipe PRI 300mm Wafer Prealigner PRE-300B-CE working

AE Pinnacle 6kW 3152412-234 DC Power Supply 0190-08604

AE Navigator RF Match 3155132-001D working 0190-15168

AE Navigator RF Match 3155168-002E refurbished

HiTek Power DC Supply OL330/253/07 new 0090-91806

MRC Eclipse Star RF High Voltage Source A118144

OneAC bbb 90 Power Conditioner CLD31750SPL

PRI Automation Prealigner PRE-301B-CG-CE-S2 working

Brooks PRI Wafer Robot WTM511-2-FWS02-V1 working

SELA MC 100 Micro Cleavage System

Brooks PRI Automation Robot WTM-511-2-FWS02 working

AE Pinnacle 6kW 3152412-219C DC Power Supply 0190-25716

Hitachi S-9300 SEM Wafer Prealigner Module working

AE Apex 3000/13 RF Generator 3kW 13.56MHz working

JDS Uniphase Laser & Power 2213-75TSLKTB 2113-75SLT

PolyFlow 300mm Polymide Parts Clean Bench

Matrix Industries Chemical Fume Hood I99HIT/H-ASSY-2

Matrix Industries Chem. Bath/Fume Hood I98 BD/H-ASSY-2

Asyst Hitachi I900SRT Prealigner 0FH3000-001 working

KLA-Tencor AIT1 JDS Uniphase Laser 2213-75TSLKTB

KLA-Tencor AIT 2 3 Front Collector Assy. 562610

bbb Unity 2 Yaskawa PAC/ELC2 SRC II Robot Controller

KLA-Tencor AIT 1 JDS Uniphase Laser 2214-20SLUP

Hitachi M-712E Loader Interface Transfer Robot Working

Hitachi M-712E 200mm Wafer Prealigner working

Hitachi I900SRT Wafer Inspection Transfer Robot

Hitachi I900SRT Wafer Inspection Transfer Robot Working

Trazar Corp. RF Match RFDS-1 working

Kawasaki 200mm Prealigner 3NS003S-C201 new 0190-17388

Alcab IPUP A100L Vacuum Pump working

ENI DCG-200 DCG-200Z DC Plasma Supply working

ENI DCG-200 DC Plasma Generator DCG-200Z 0190-22953

Brooks Genus Right Vacuum Load Lock 001-9300-54

Equipe Transfer Robot ABM405-1-S-CE working

bbb ACT 12 Temp Control REX-B870-CS1B CT2986-430279-W1

Equipe PRI Automation 300mm Prealigner PRE-300B-CE

Asyst SMIF-300FL 300mm Load Port FA,300FL,S2.1 W/E84

Edwards Dry Vacuum Pump QDP40 Rebuilt Working

AE Pinnacle 20KW 3152412-233A working 0190-08122 

Nova NovaScan 3000 Computer 310-40000-00 working

HiTek Power OL6000/164/01 Power Supply 0090-91319 new

Alcab A100L IPUP Dry Vacuum Pump working

Nikon NSR S202 Wafer Mask Reticle Indexer working

Kensington 300mm Prealigner 15-3600-0300-01, 0190-16360

CTI-Cryogenics On-Board P300 Cryopump 0190-27355

ENI DPGS-10 DC Generator DPGS-10-04 rebuilt 0190-36274

ENI DPGD-10 DP Generator 500V rebuilt AMAT 0190-36275

AE Advanced Energy RF Match Network 3155031-014D

Kawasaki Transfer Robot NS410B-A00 working 0190-06222

Kawasaki Transfer Robot NS410T-A002 working 0190-05090

Brooks Transfer Robot ABM-405-1-S-CE-S293 0520-00021

Equipe PRI Transfer Robot ABM-405-1-CE-S293 working

Equipe PRI Robot Controller ABM-405-1-S-CE-S293 working

SMC Thermo Chiller INR-498-012C working

Yaskawa Transfer Robot XU-RCM5020 working

Yaskawa Transfer Robot XU-RCM5010 working

Newport Kensington Robot 15-3701-1425-25 0190-19124

Alcab IPUP Vacuum Pump ADP100L working

Yaskawa Nikon NSR S202 Robot 4K171-841-2 working

Berkeley Process 300mm Prealigner 100-000-871-02 new

9010-01808

CPS High Voltage Power Supply 1966-00-0021 working

AE HFV 8000 RF Generator 3155083-109B 5kW 0190-01873

AE HFV 8000 RF Generator 3155083-109A 5kW 0190-01873

AE HFV 8000 8kW RF Generator 3155083-180A 0920-01122

Brooks Wafer Transfer Robot ABM-405 Series working

Brooks Wafer Transfer Robot ABM-405 Series working

Kawasaki 300mm Wafer Prealigner 3NS003S-C201 0190-17388

PRI Automation Robot ABM-405-1-S-CE-S293 working

Equipe PRI Automation 300mm Prealigner PRE-301B-CE

AE RFPP RF25M 2500W RF Generator 3150048-000

LAM Research Electrical Control Panel 685-017705-027

CTI-Cryogenics Retrofast 8 Cryopump 8112866G001 Working

CTI-Cryogenics Retrofast 8 Cryopump 8112866G001 Working

Nor Cal Pendulum Valve 85157800-1 New 3870-04611

Comdel CLX-2500 350kHz RF Gen FO1336R2 wrkng 0190-02702

Comdel CLX-2500 RF Generator FP1336R2 workng 0190-02702

MECS Transfer Robot Track YC505 working

Nikon 4S587-288 Yaskawa Controller XU-DL1910

Comdel CLX-2500 350 KHZ PN FP1335R2 RF Power Supply

AE Remote Plasma Gen. 3151801-004A working 0190-13025

Comdel CLX-2500 350kHz-LF 2500W RF Generator PP1341

Newport Kensington 300mm Wafer Robot 15-3701-1425-25

Varian Turbo-V 250 Vacuum Turbopump 9699007 working

Comdel CLX-2500 LF RF Gen 2500W FP1335R4 0190-01370

Varian Turbo-V TV 250 Turbopump 9699007 working

Comdel CLX-2500 350KHz-LF RF Gen. FP1336R2 0190-02702

Comdel CLX-2500 350KHz-LF RF Generator 2500W FP1336R3

Comdel CLX-2500 LF Generator 2500W 350KHz FP1336R6

Seiko Seiki Vacuum Turbopump STP-301H working

Varian TV 301 Vacuum Turbopump 7698918 working

Varian TV 250 Macro Torr Turbopump 9699007S024 working

Osaka Vacuum Turbopump TH542 untested 3620-01353

Jenoptik Infab Fixload 25 TM 300mm Load Port 5075-98008

ENI OEM-12B3 RF Generator 1250W 0190-76028 working

ENI OEM-12B RF Generator 1250W 0190-76048 working

Ebara ET300WS Vacuum Turbopump 3620-01537 working

Ebara ET300WS-A Vacuum Turbopump 3620-01419 working

Mitsubishi CP2000A Thermal Printer CP2000UA working

Edwards iL70 Vacuum Pump LCDP 70 QMB250 untested

AMAT Mirra Mesa FABS-202 Rorze 1VRR8150-W01-006 Working

bbb Tokyo Electron P-8 PCB Card Cage W/ Several PCBs

AE RFPP LF-10WC RF Generator 3150076-002F working 1kW

Hitachi 1-822328 Lower Coil ZPS90 New

MKS ASTeX AGL 48kV Power Spply AG1111 refurb 0190-36376

ASML bbb Microscan II Wafer Robot 879-0427-001

Asyst SMIF-300FL Front-Load Series 3-EP load port 300mm

Verity Spectrograph SD1024DL, 1005309AT

AMAT P5000 RF Match 13.56MHz 0010-30094 working

VAT Pendulum Valve 65050-PH52-AKK1 new 3870-03445

Nova Control Unit 510-41000-00 Rev. B working

LAM Research 8 Inch Ceramic Ring New

LAM Research Electrical Control Panel 685-017705-002

LAM Research bbbbb Bulkhead System 12-8892-033 New

Daihen RF Auto Matcher RMN-50P-V new

ENI OEM-12B RF Generator OEM-12B-07 working 0226-00733

LAM Research Quartz Shield, Quad EP 716-052017-001 New

LAM Research Lower Match Box 853-015001R003 Refrbshd

AMAT XR80 Implanter Scan Drive 0030-90107

AMAT XR80 Implanter Motor Assy. working

Shimadzu Vacuum Turbopump TMP 2003-LM3 not working

Nikon NSR Stepper Transfer Robot 4K177-955-1 working

KLA-Tencor Quantox 64000 Cooling Module working

Lam Research RF Interface 853-491836-002-A-262C Working

Leybold Vaccum Turbopump Turbovac 1000 C 3620-00115

Leybold Vacuum Turbopump Turbovac 1000 C 3620-00115

Adixen Vacuum Turbopump Controller ACT 1300M 3620-00527

Vistec Laser Module 020-654.037-000 working

Nikon NSR Series Robot Interface Unit Z-X4P/12-A0702C

ENI OEM-10B RF Generator OEM-10B-8 working

Nikon NSR Series AF-I/F-CTLX24 PCB 4S080-677-5

Alcab ACT 1300M 1600M Turbopump Control 3620-00273

Comdel CX-200S CXV-200 V-Quad RF Generator working

Comdel CX-200S V-Quad RF Generator CXV-200 working

Cambridge Fluid Systems Gas Panel 0010-00888 working

Nikon NSR Stepper Series Transfer Robot working

Yaskawa Nikon NSR Robot XU-RCM5010 working

ENI OEM-12B3 3 Phase RF Gen. 1250W working 0190-76028

Nikon Convex Drive Filter BL05322AB refurb

Nikon Scanner MH RPF Concave 4G680-388BN new

Nikon MH RPF 7.2% Concave 4G680-431AN new

AMAT XR80 Implanter Gas Box (Single) 9010-00163ITL

Seiko Seiki Vacuum Turbopump Controller SCU-301 new

Ebara A07V Dry Vacuum Pump need rebuild

Ebara Dry Vacuum Pump A07V need rebuild

Ham-Let Valve HMS20 4VKC RND Lot of 60 3870-05019 New

Nikon Robot WDR1D244DNR, WDZ1D238R Working

KLA-Tencor Quantox 64000 Cooling Module working

KLA-Tencor AIT2 CPM Power Assembly 0218541-000

Ebara Cold Trap LX004 working HT020-8A

Quality Transber Electronics 8559 115kVA 0190-26171

bbb Tokyo Electron Weldment S-2 Pod & Tube A115115 new

Edwards AMAT SCI Master Chemical System new

AMAT XR80 VCR Recorder System 9090-00227 ITL SR-L910EK

MRC Eclipse Star Chamber Source Assy. A122887

MRC Eclipse Star Plenum Chamber Assy.

AMAT XR80 Implanter Time Lapse Video Recorder System

FOCUS POWER UNIT Series 2500 Power Supply

Coherent Innova Heat Exchanger I328C untested

Edwards EH500 Vacuum Pump Blower rebuilt 3620-90109

KLA-Tencor 2139 Sony DXC-9000 Camera 740-772299-000 Kit

KLA-Tencor 2135 Vertical Illuminator 760-663761-001 new

KLA-Tencor 2135 to 2138 Upgrade Kit 780-696341-000

KLA-Tencor 780-689330-000 5.2 Autoloader XP UP Kit 2139

SSM Hitachi I900SRT Capacitance Meas. SX-UID500H CM H2

KLA-Tencor AIT 1 NEAT Stage & Controller 300mm working

Comdel CX-200S V-Quad RF Generator FP3013R1 working

bbb ACT 12 Panasonic Driver Assembly MSD261Y21 working

Nikon Optistation 3 Wafer Handler/Prealigner 202380

Asyst UTV-F2500HA Robot Controller CS-7100 new

Nova NovaScan 420 Measurement Unit working

Daihen RF Match AMN-30H 3000W working 0190-15323

AE RFPP LF-10 1kW RF Generator 3150076-002D working

AE LF-10WC RF Generator 3150076-002E working

ENI OEM-12B RF Gen 1250W OEM-12B-01 working 0190-70080

bbb Tokyo Electron Chiller Thermo Generator D204

Leybold Dryvac 100P Dry Vacuum Pump not working

bbb P-8 Wafer Prober PCB Card Cage working MVME 147-023

bbb P-8 Wafer Prober Axis Drive Assembly working

bbb P-8 Wafer Prober Axis Drive Assembly working

Berkeley Process BX-2 Controller 100-000-928 0190-00304

Fortrend 300mm Wafer Transfer System E-300 working

bbb Tokyo Electron Fujikin Gas Steam Cabinet

ENI OEM-12B RF Gen. 1250W OEM-12B-02 working 0190-70080

CKD Vacuum Exhaust Controller System ESC-W2 new

CAE Ultrasonic MACS-25-US MACS-25-US-(LRC)-BFGIKOB

Bay Voltex Heat Exchanger HRE-HT-30650-DI-RP-R2

Adixen Alcab Dry Vacuum Pump A100V 3620-00566

Motorola MVME 162FX Controller Card MVME 162-413

Arrow Electronics Power Supply Switcher VAD610669-A

AE RF Match Network 3155031-032A AMAT 0190-01402

AMAT Lambda EMI Power Supply ESS 80-185-2-1417 00481528

Lam Research 8 Turn RF Coil RD 853-330583-080 Working

ENI OEM-12A XL RF Generator 1250W working

Thermo Noran Cold End TN-90-520 working T2108-01-14

ENI OEM-12A XL 1250W RF Generator OEM-12AL-01 working

Nikon NSR Series Robot Stage

Lam Research Gap Assembly 4520/i 853-140050-001-A-6882

Thermo Neslab DI Max Chiller DEI Water to Water Cooler

CTI Cryogenics Cryo-Torr 8R Cryopump MRC Eclipse

KLA-Tencor 2138 Motorized 200mm Retrofit 780-666008-000

Thermo Noran CryoTiger Cold End 8815A-3SUS-SN 

Lam OnTrak DSS200 Wafer Scrubber Chemical Delivery Assy

AMAT HiTek HiVolt Power Supply A1030050 9090-00397ITL

bbb Tokyo Electron 125mm Back Plane Heater A112489

Comdel CX-1250S RF Generator 1250W FP3212RC 0190-14206

Comdel CX-1250S RF Gen. FP3212R4 working 0190-01896

Comdel CX-1250S RF Gen. FP3209R1 working 0190-10584

Neslab HX 151g-CHX Chiller HX-151 Galden rebuilt

Comdel CX-1250S RF Generator 1250W FP3212RB 0190-09843

Nikon NSR Series FIAAF Process PCB

PRI Automation Gateway 1000 Load Port 300mm

Comdel CX-1250S RF Generator 0190-01183 working

HiTek Power Series 1000 Supply 9090-00397 ITL working

ASML A5162, A5163 A/D TRANS PCB 8518518005 Working

Nikon Metro 300mm INX/300 Dual Board Assy KAB11310/201K

ENI OEM-12 RF Generator 1250W OEM-12-11491 working

Asyst 300mm Wafer Prealigner EG-300B-012A working

bbb Tokyo Electron 125mm Back Plane Heater A121382

bbb Tokyo Electron 200mm Back Plane Heater A116007

ENI OEM-12A RF Generator 1250W working

Nikon Dual Board Assembly PCB 9700-5327-01 Working

Spectra-Physics Laser Power Supply 2560-60 working

Spectra-Physics 2560-56 Laser Power Supply rebuilt

ENI OEM-12A RF Generator 1250W OEM-12AL-21311 working

Tek-Temp Rack Mount Chiller RK-19 1600 BTU working

ENI OEM-12 Solid State RF Generator OEM-12L working

Yaskawa 8-Axis Motion Controller SMC 2000-8MR working

AMAT Quantum Leap III Beamline Shield Assy. 0040-94512

AMAT Quantum Leap III Shield Assembly 

AE Navigator RF Match 3155132-001 untested 0920-00004

Metron 859 Dual Nozzle Assy. 814-01-013 new

Brooks Automation FixLoad 6 Load Port 002-7200-21 

Ebara Vacuum Turbopump ET600WS need rebuild

Seiko Seiki TMP Controller STP SCU-H1000C 0190-01036

Yaskawa ServoPack Motor Driver 200V working SGDH-75AE 

Electroglas Horizon 4085X Controller RMHM4 working

AE Ovation 35162 RF Generator 3150861-002B 0190-27049

AE Ovation 35162 RF Generator 3150861-002A 0190-27049

AE RF Match 3155031-037A not working 1110-00056

AE RF Match 3155031-037A untested 1110-00056

Metron 859 Multi Nozzle Assy. 814-01-014 new

Ebara Vacuum Turbopump ET600WS need rebuild

KLA-Tencor NEAT CRS1010 200mm Wafer Stage Controller

Ebara Vacuum Turbopump Controller 305W-A working

Shimadzu Vacuum Turbopump Controller EI-D3603M new

Nikon NSR Stepper Power Controller RRW-07 working

Nikon Stepper Controller Z-X3-B2405 working

Schumacher ChemGuard Bulk Chemical Delivery working

Hitachi 1-823775 PCB I/O Unit W/ 7 Boards Included New

Hitachi Upper Coil, ZPS90 New

Accu-Fab Systems Accubot Transfer Robot untested

Newport 300mm Wafer Robot 15-3701-1425-25 0190-19124CW

Newport 300mm Wafer Handling Robot 15-3702-1425-25

AMAT P5000 RF Match Network 0010-39750

AE Ovation 35162 RF Generator 3150861-002B 0190-16109

AMAT Spur Gearing Pressure Drive 3970-00039 working

Brooks 200mm Wafer Indexer 8600-65BAJ working

Lam Slit Valve, HTD, Viton, Anod E9600 796-098150-002 

Lam Research Quartz Focus Ring 716-443234-001 New

ENI Genesis GHW-12Z RF Gen. 1250W 13.56MHz 0190-09832

ENI GHW-12Z RF Generator 1250W working 0190-25528

Direct Logic 405EBC PLC H4-EBC D4-64ND2 working

MECS UTX-5000 Robot Controller CS-7000 untested

MECS CS-7000 Robot Controller UTV-F2500H untested

ENI GHW-12Z Genesis RF Generator 1250W 0190-06988

ENI Polara-260A Pulsed Bipolar Power Supply 0190-01428

Toyo Electric Transber TA-VR JEC-1310-2001 167KVA

Kollmorgen Goldline Rotary Drive D101B-93-1210-014 

AMAT Heat Exchanger AMAT 0 not working 0010-70066

bbb Tokyo Electron 200mm Back Plane Heater A120430 new

AceCo Susceptor Assy. S33-1872-14 refurbished

Nikon NSR Series 200mm Prealigner working


Tokyo Electron ACT 12 Process Block Robotic Arm 200mm


Rorze FABS-202 Wafer Transfer Station IVRR8150-W01-00?5 ...


Nikon Card Cage Assy. APGCi 7500 RS013-497 working


Verteq 1800 SRD Tool 1800.6 1800-6AR working 200mm


bbb ACT 12 Wafer Edge Exposure (WEE) Process Station


Tokyo Electron ACT 8 AC Power Box PB1-U125-01-T Used


Tokyo Electron ACT 8 SOG AC Power Box PB1-U100-WA-DT


Blue-M Anneal Oven Alcab 2033 Soak Press. 280T


Axcelis 1183060C 5" GSD Disk 125mm working


Brooks Automation PRI 7000 200mm SMIF Pod Wafer Stocker


Brooks Automation PRI 7000 200mm SMIF Pod Wafer Stocker


Brooks Automation PRI 7000 200mm SMIF Pod Wafer Stocker


Edwards iH-600 Vacuum Pumps HCDP80 & Blower HCMB600 wor...


Edwards IH600 Vacuum Pumps HCDP80 & Blower HCMB600 work...


SMC Thermo-Con ACT 12 Tokyo Electron INR-244-244U-67?0


Tokyo Electron ACT 12 Develop Process Station Right


Tokyo Electron ACT 12 Develop Process Station Left


Nicolet Instrument ECO 1000S Metrology Tool untested 05...




Tokyo Electron ACT 12 Cassette Block Robotics Arm


Tokyo Electron ACT 12 Coat Process Station Right


Tokyo Electron ACT 12 Coat Process Station Left


LAM OnTrak Synergy Integra Wafer Scrubber Parts System


Nikon Optistation 3 Wafer Inspection Tool Working


KLA-Tencor Prometrix FT-750 Film Thickness Measurement


Schlumberger ITS9000FX IC Test System Parts Tool


CT bbb Act 12 300mm Coat Process Station Upper Left CT2...


ATMI Ecosys CDO 862 Abatement Scrubber System untested ...


Shimadzu Vacuum Turbopump TMP 2001-LME new


bbb Clean Track ACT 12 Cassette Load Block Frame


bbb Tokyo ACT 12 Cassette stage Block CSB 300mm


bbb ACT 12 Adhesion Main Body Frame 300mm


AMAT Endura PVD Lower Chamber 300mm 0010-22744


ATS M-Pak MP40C-DI Temp. Control Chiller 0190-08467 new


Electroplating Engineers Cup Plater Napoleon II working


Edwards Helios Gas Scrubber Cabinet N68081290 Untested ...


Edwards Helios Gas Scrubber Cabinet NRB086000 Untested ...


Materials Research Corp. MRC Eclipse Star Main Frame & ...


AMAT P5000 200mm Etcher Main Body Parts Machine


ATS M-Pak Temp. Control Chiller System MP40F-DI working


Shimadzu Turbopump TMP-3403LMTC rebuilt 3620-00486


Shimadzu Vacuum Turbopump TMP-3203LMC-K1 working


Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 working


Shimadzu Turbopump TMP-3203LMC-A1 3620-00145 rebuilt


Edwards STP-F2203C Turbo Molecular Pump PT50-0Z-006 wor...


Asyst VersaPort STD AMP 200mm LoadPort 9700-5384-11 NEW


OnTrak System DSS-200 Control Module MQEF120019 working


OnTrak System DSS-200 Control Module MQE39828 w/o Monit...


AMAT Applied Materials P5000 LF-RF Generator Rack 0290-...


Fisher Hamilton SafeAire Fume Hood 54L Bench working


Alcab ATH 1600 M Vacuum Turbopump working missing wat...


Alcab Adixen ATH 1600M Vacuum Turbopump P25621B1 work...


Adixen Alcab ATH 1600M Vacuum Turbopump P65621B4 3620...


Alcab ATH 1600 M Vacuum Turbopump P25621B1 3620-00182...


SMC Thermo Chiller INR-497-049 working


Yaskawa Transfer Robot Track XU-ACL3701 working


Yaskawa VS2B Robot & RC/ELC1, PAC/ELC2 Controllers Set ...


Alcab Vacuum Turbopump ATH 1600 M tested P65621A0 wor...


Alcab ATH 1600 M Vacuum Turbopump working P65621A0


Tokyo Electron bbb ACT 12 AC Power Box PB122-U300-02W


bbb ACT 8 SOG AC Power Box PB1-U100-WA-DT


CTI-Cryogenics On-Board 10 Cryopump 8116148G003 new


CT bbb Act 12 300mm Develop Process Station Bottom Left...


CT bbb Act 12 300mm Develop Process Station Top Right C...


Kensington Labs 25-3700-1425-08 Robot and Controller Se...


Rudolph MetaPulse XCu 200mm Metrology Tool


FSI ChemFill Chemical Delivery Model 1000 1000S-3


Toyota T600 Vacuum Dry Pump 0240-52730 new


Rasco Heat Exchanger SBCU-100A new 0190-73323


ATS M-PAK Temperature Control System MP20Y-GL


Edwards FSI ChemFill Chemical Delivery 1000 1000A-3


Nikon 14 Active Temperature Control S58 HFE Chiller


bbb ACT 8 Adhesion Process Station ADH 811 200mm


bbb ACT 8 Chilling Hot Plate Process Station (CHP)


Hitachi S-9300 SEM Transfer Robot working


Toyota T600 Vacuum Dry Pump new 0240-52729


MKS ASTeK ASTRONi Remote Plasma Source AX7670-19 Rev.E ...


SMC Thermo Chiller INR-496-001D-X0?07 0190-19552 New




bbb ACT 12 WEE Lamp House CT2985-411701-W?3 HU250T-A


bbb ACT 12 Interface Block Robotics Arm 200mm working


Semiquip AMAT Centura Endura 200mm Cassette Alignment T...


Kensington 200mm Wafer Sorter Mapping Station CSMT-4


Pfeiffer Vacuum HiCube 80 Eco Benchtop Turbopump Statio...


Alcab ATH 1600 M Turbopump set P2562121 3620-00091 Ad...


Kensington CSMT-4 Compact Sorting Mapping Tool working


CTI-Cryogenics High Capacity Compressor 0190-07137 new


Yaskawa VS2B Robot & Controller RC/ELC1 SRC-II 005


Risshi Co. Chiller ELC101-AOZ new 0190-31779 10GPM 15kW...


Nova 300mm Wafer Handler PC 320-52000-00 / 3920-00235


AMAT Endura CVD Load Port Interface Module 200mm


AMAT XR80 150mm Implanter Sub Fab Rack


Pfeiffer WKP 1000 AM Roots Pump New


ENI MKS NOVA-25A RF Generator 2500W NOVA-25A-01 Rev.F n...


AMAT Applied Materials MXP 125/150mm Standard Cathode K...


Yaskawa Transfer Robot Track Rail XU-ACL4722 new


Coherent ExciStar S Laser Discharge Unit 1127985 rebuil...


bbb Unity 2 Control Rack U2-855DD for parts


Kensington 200mm CSMT-4 Wafer Mapping Station 4000D


ETO Generator Rack 80-S03-CE untested 0190-40009


Therma-Wave OptiProbe 2600B Film Measure Module


bbb Unity 2 Yaskawa 200mm PreAligner Chamber working


SMC Thermo-Con bbb ACT 8 SOG Temp Cont. INR-244-211T-24


ETO 80-S03 RF Generator Rack 0190-40009 Untested


Seren R301MKII RF Generator 9600730025 AS-IS


bbb ACT 12 Precision Chill Plate 845 2986-400745-W3


bbb ACT 12 Precision Chill Plate 850 2986-400745


ASML DSX Stepper A1 Prime 8540138001 working


AMAT Endura PVD Lower Chamber 0010-22744 300mm


Semitool Wet Solvent Stripper WST308A WST308A(1CC)BT


MKS ASTRONex Remote Plasma Source FI80131-C Rebuilt


Varian TV551 NAV Turbopump 9698922S006 refurbished 5201...


ASTeX RF Generator Rack 80-S10-HP 0920-01076 Used AS-IS


PRI Wet Robot WTM-511-2-FWS02?-V1 new 0195-02882


Pfeiffer Vacuum OnTool Dry Pump PKT16919 new


Pfeiffer WKP 6000 AM Dry Vacuum Pump Blower New


Busch Cobra DS-700 Dry Vacuum Pump w/ Remote Controller...


ENI GHW-50A Genesis RF Generator Rev.B GHW50A-13DF3H0-?0...


Yaskawa SGMCS-1CR3A-YA2?3 20kW AC Servo Motor 1080-00633


Tokyo Electron ACT 8 AC Power Box PB1-U125-01-T Used


Busch Cobra DS 80 Dry Vacuum Pump w/ Remote Controller,...


MKS ASTRONex Remote Plasma Source AX7685-20-C Rev.B Reb...


MKS ASTRONex Remote Plasma Source AX7685-20-R-C Rev.B 0...


Shimadzu TMP-2203LMC Turbopump EI-D3403M (2203) TMP Pum...


Yaskawa VS2B Robot & Controller RC/ELC1 DDMQF-SR22321R ...


KLA-Tencor SP1 Lens Module 0057637-000 Rev.AB working 0...


AMAT Applied Materials P5000 Mini-Controller 0010-76036...


Axcelis 1183060 5" GSD Disk 125mm working


CTI-Cryogenics IS-1000 Cryo Compressor 0190-23685 New


Edwards Vacuum Turbopump STP-XH2603P Used Working


Mitsubishi RV-E14NHC-SA06 Wafer Transfer Robot Untested


Semitool Wet Solvent Stripper WST308A(1CC)BT


SMC INR-498-P031 Recirculating Unit Heat Exchanger 0190...


Ebara A30W Dry Vacuum Pump Working


PTI bbb ACT 8 12 Exhaust Controller Sentry 1000 w/ TIM


Bilz HAB 1000 BiAir Air Spring Isolator Leg Set working


Novellus Concept Two Altus C2-DLCM Mainbody Frame


Nikon NSR-S307E Control Card Module 4S065-515


Nikon MAC & LS Controller 027H0153 W/ Sub EP & LS IOP


bbb Procell Electronics Cabinet & Components NSK


Daifuku Pod Opener Loadport PO-125M0016 Working


Kawasaki 3NS511C-F007 WET Robot NS5111388 0190-34965 re...


Tokyo Electron ACT 12 Front Opening Unified Pod B-Type


Osaka TH53SASAW Turbo-Molecular Pump w/ Controller & Po...


Osaka Vacuum TG1003BW Turbopump 3620-01325 New


Materials Research Corp. Eclipse Star Chamber Assembly


ASTeX ETO Generator Rack 80-S10-HP Rebuilt 0920-01076


Edwards Vacuum Turbopump STP-XH2603P new 3620-00368


Invax Tech. Electrostatic Chuck 300mm PVD 02425-011


Lam 4420 Reb & Lower Chamber 852-011061-503 Lower ...


Novellus Concept II Two C2-DCLM-S Untested (For Parts)


Novellus Concept II Two C2-DCLM-S Untested (For Parts)


Rorze Robot w/ Control RR721L202H-H30-?1CA 0190-01152


Engenuity WT288 Thermal Spectroscopy Analyzer PTM5081


MRC Power Supply Tower PDP 2500 ACG-10B MDX Delta


Nor-Cal Inblisys 3870-05190 Pendulum Valve new


Yaskawa 0190-26388 Cartesian Robot Arm Set C w/ Supinat...


Alcab Adixen ATH 1600M Vacuum Turbopump P25621B1 need...


Alcab ATH 1600 M Vacuum Turbopump P2562121 needs rebu...


Alcab Adixen ATH 1600 M Vacuum Turbopump P65621A0 nee...


ENI OEM-1250 RF Generator 0920-01035 new 1250W

Chromasens 940 992 Camera PCB Assembly SC-KA5-1/Z KLA-Tencor WBI 300 Copper

Chromasens WBI_Scanmodul COB Wafer Stage CP 0005 KPA-Tencor 0319954-000 Cu Spare

Chromasens WBI-Scanmodul COB Wafer Stage CP 00055 KLA-Tencor 11020618106000 Cu

Chuo Precision Industrial X-Axis Manual Stage Assembly LS-112W LS-912W LV-612

Cirris Systems 17-18930-03F H+ Scanner Mother PCB Assembly 17-25540-01B

Cirris Systems 17-24000-02B T1/1100 High Voltage PCB 1000V 1500V


品牌其他
型号6006CTI-Cryogenics81240
加工定制
厦门翔麟奕科技有限公司
  • 公司类型私营独资企业
  • 经营模式经销商-私营独资企业
  • 联系人叶先生
  • 联系手机15260226267
  • 联系固话0592-15260226267
  • 公司地址厦门市翔安区民安街道莲亭路837号39楼702
主营业务
西门子低压 施耐德 施迈赛 PILZ 皮尔兹 DOLD
工程和技术研究和试验发展;软件开发;电气设备销售;电子元器件批发;机械零件、零部件销售;五金产品批发;五金产品零售;技术进出口;货物进出口;进出口代理;国内贸易代理;销售代理;软件销售;信息安全设备销售;计算机软硬件及辅助设备批发;互联网设备销售;物联网设备销售。
叶先生 电话咨询 立即询价